>>> py3-litex-hub-modules: Building testing/py3-litex-hub-modules 2023.12-r1 (using abuild 3.12.0-r2) started Fri, 19 Jan 2024 02:40:56 +0000 >>> py3-litex-hub-modules: Checking sanity of /home/buildozer/aports/testing/py3-litex-hub-modules/APKBUILD... >>> py3-litex-hub-modules: Analyzing dependencies... >>> py3-litex-hub-modules: Installing for build: build-base python3 py3-setuptools py3-gpep517 py3-wheel py3-installer (1/25) Installing libbz2 (1.0.8-r6) (2/25) Installing libffi (3.4.4-r3) (3/25) Installing gdbm (1.23-r1) (4/25) Installing xz-libs (5.4.5-r0) (5/25) Installing mpdecimal (2.5.1-r2) (6/25) Installing libpanelw (6.4_p20231125-r0) (7/25) Installing readline (8.2.10-r0) (8/25) Installing sqlite-libs (3.45.0-r0) (9/25) Installing python3 (3.11.6-r1) (10/25) Installing python3-pycache-pyc0 (3.11.6-r1) (11/25) Installing pyc (3.11.6-r1) (12/25) Installing python3-pyc (3.11.6-r1) (13/25) Installing py3-parsing (3.1.1-r0) (14/25) Installing py3-parsing-pyc (3.1.1-r0) (15/25) Installing py3-packaging (23.2-r0) (16/25) Installing py3-packaging-pyc (23.2-r0) (17/25) Installing py3-setuptools (69.0.3-r0) (18/25) Installing py3-setuptools-pyc (69.0.3-r0) (19/25) Installing py3-installer (0.7.0-r1) (20/25) Installing py3-installer-pyc (0.7.0-r1) (21/25) Installing py3-gpep517 (15-r1) (22/25) Installing py3-gpep517-pyc (15-r1) (23/25) Installing py3-wheel (0.42.0-r0) (24/25) Installing py3-wheel-pyc (0.42.0-r0) (25/25) Installing .makedepends-py3-litex-hub-modules (20240119.024057) Executing busybox-1.36.1-r19.trigger OK: 428 MiB in 132 packages >>> py3-litex-hub-modules: Cleaning up srcdir >>> py3-litex-hub-modules: Cleaning up pkgdir >>> py3-litex-hub-modules: Cleaning up tmpdir >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/picolibc-f165dc22f1f67e3e8bdc8edf750ff7dc596de2ff.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/fpnew-855bb82b6e85772fc290fa8b9c14fdd8f1b16be7.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/riscv-dbg-6d38d957b036231db668666255e938c91b7ce424.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/trace_debugger-0aafa398e208ad79826407e3805642987287cfae.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/common_cells-790f2385c01c83022474eede55809666209216e3.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/fpu_div_sqrt_mvp-83a601f97934ed5e06d737b9c80d98b08867c5fa.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-blackparrot-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cv32e40p-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cv32e41p-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cva5-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cva6-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-ibex-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-lm32-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-marocchino-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-microwatt-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-minerva-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-mor1kx-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-naxriscv-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-picorv32-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-rocket-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-serv-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-vexriscv-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-vexriscv_smp-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-misc-tapcfg-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-software-compiler_rt-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-software-picolibc-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/valentyusb-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/picolibc-f165dc22f1f67e3e8bdc8edf750ff7dc596de2ff.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/fpnew-855bb82b6e85772fc290fa8b9c14fdd8f1b16be7.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/riscv-dbg-6d38d957b036231db668666255e938c91b7ce424.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/trace_debugger-0aafa398e208ad79826407e3805642987287cfae.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/common_cells-790f2385c01c83022474eede55809666209216e3.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/fpu_div_sqrt_mvp-83a601f97934ed5e06d737b9c80d98b08867c5fa.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-blackparrot-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cv32e40p-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cv32e41p-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cva5-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-cva6-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-ibex-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-lm32-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-marocchino-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-microwatt-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-minerva-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-mor1kx-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-naxriscv-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-picorv32-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-rocket-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-serv-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-vexriscv-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-cpu-vexriscv_smp-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-misc-tapcfg-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-software-compiler_rt-2020.04.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/pythondata-software-picolibc-2023.12.tar.gz >>> py3-litex-hub-modules: Fetching https://distfiles.alpinelinux.org/distfiles/edge/valentyusb-2023.12.tar.gz >>> py3-litex-hub-modules: Checking sha512sums... picolibc-f165dc22f1f67e3e8bdc8edf750ff7dc596de2ff.tar.gz: OK fpnew-855bb82b6e85772fc290fa8b9c14fdd8f1b16be7.tar.gz: OK riscv-dbg-6d38d957b036231db668666255e938c91b7ce424.tar.gz: OK trace_debugger-0aafa398e208ad79826407e3805642987287cfae.tar.gz: OK common_cells-790f2385c01c83022474eede55809666209216e3.tar.gz: OK fpu_div_sqrt_mvp-83a601f97934ed5e06d737b9c80d98b08867c5fa.tar.gz: OK 0001-fix-pythondata_software_compiler_rt-python3.patch: OK 0002-fix-pythondata-cpu-blackparrot-python3.patch: OK pythondata-cpu-blackparrot-2023.12.tar.gz: OK pythondata-cpu-cv32e40p-2023.12.tar.gz: OK pythondata-cpu-cv32e41p-2023.12.tar.gz: OK pythondata-cpu-cva5-2023.12.tar.gz: OK pythondata-cpu-cva6-2023.12.tar.gz: OK pythondata-cpu-ibex-2023.12.tar.gz: OK pythondata-cpu-lm32-2020.04.tar.gz: OK pythondata-cpu-marocchino-2023.12.tar.gz: OK pythondata-cpu-microwatt-2023.12.tar.gz: OK pythondata-cpu-minerva-2023.12.tar.gz: OK pythondata-cpu-mor1kx-2020.04.tar.gz: OK pythondata-cpu-naxriscv-2023.12.tar.gz: OK pythondata-cpu-picorv32-2020.04.tar.gz: OK pythondata-cpu-rocket-2020.04.tar.gz: OK pythondata-cpu-serv-2020.04.tar.gz: OK pythondata-cpu-vexriscv-2020.04.tar.gz: OK pythondata-cpu-vexriscv_smp-2023.12.tar.gz: OK pythondata-misc-tapcfg-2020.04.tar.gz: OK pythondata-software-compiler_rt-2020.04.tar.gz: OK pythondata-software-picolibc-2023.12.tar.gz: OK valentyusb-2023.12.tar.gz: OK >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/picolibc-f165dc22f1f67e3e8bdc8edf750ff7dc596de2ff.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/fpnew-855bb82b6e85772fc290fa8b9c14fdd8f1b16be7.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/riscv-dbg-6d38d957b036231db668666255e938c91b7ce424.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/trace_debugger-0aafa398e208ad79826407e3805642987287cfae.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/common_cells-790f2385c01c83022474eede55809666209216e3.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/fpu_div_sqrt_mvp-83a601f97934ed5e06d737b9c80d98b08867c5fa.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/pythondata-cpu-blackparrot-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/pythondata-cpu-cv32e40p-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/pythondata-cpu-cv32e41p-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/pythondata-cpu-cva5-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/pythondata-cpu-cva6-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/pythondata-cpu-ibex-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/pythondata-cpu-lm32-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/pythondata-cpu-marocchino-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/pythondata-cpu-microwatt-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/pythondata-cpu-minerva-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/pythondata-cpu-mor1kx-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/pythondata-cpu-naxriscv-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/pythondata-cpu-picorv32-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/pythondata-cpu-rocket-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/pythondata-cpu-serv-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/pythondata-cpu-vexriscv-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/pythondata-cpu-vexriscv_smp-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/pythondata-misc-tapcfg-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/pythondata-software-compiler_rt-2020.04.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/pythondata-software-picolibc-2023.12.tar.gz... >>> py3-litex-hub-modules: Unpacking /var/cache/distfiles/edge/valentyusb-2023.12.tar.gz... >>> py3-litex-hub-modules: 0001-fix-pythondata_software_compiler_rt-python3.patch patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/lib/asan/scripts/asan_symbolize.py patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/lib/dfsan/scripts/build-libc-list.py patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/cpplint.py patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/gen_dynamic_list.py patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/sancov.py patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/test/asan/android_commands/android_common.py patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/test/asan/android_commands/android_compile.py patching file pythondata-software-compiler_rt-2020.04/pythondata_software_compiler_rt/data/test/asan/android_commands/android_run.py >>> py3-litex-hub-modules: 0002-fix-pythondata-cpu-blackparrot-python3.patch patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/checker.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/trace_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py/ptgen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_ascii_to_rom.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/generate_tb.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_rf_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_dff_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_gate_stack_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_reduce_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_rf_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_gate_stack_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_and_csa_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_comp42_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_rf_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_gate_stack_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_and_csa_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_comp42_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_gen.py patching file pythondata-cpu-blackparrot-2023.12/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce_gen.py --> Building LiteX Hub module pythondata-cpu-blackparrot 2024-01-19 02:41:01,795 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 02:41:01,861 root INFO running bdist_wheel 2024-01-19 02:41:01,883 root INFO running build 2024-01-19 02:41:01,883 root INFO running build_py 2024-01-19 02:41:01,886 root INFO creating build 2024-01-19 02:41:01,886 root INFO creating build/lib 2024-01-19 02:41:01,887 root INFO creating build/lib/pythondata_cpu_blackparrot 2024-01-19 02:41:01,887 root INFO copying pythondata_cpu_blackparrot/__init__.py -> build/lib/pythondata_cpu_blackparrot 2024-01-19 02:41:01,890 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog 2024-01-19 02:41:01,890 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 02:41:01,890 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me 2024-01-19 02:41:01,890 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test 2024-01-19 02:41:01,890 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb 2024-01-19 02:41:01,890 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:01,891 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:01,891 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_memory.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:01,891 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/bsg_trace_rom.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:01,893 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software 2024-01-19 02:41:01,893 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 02:41:01,894 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/axe_trace_filter.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 02:41:01,894 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/npa_addr_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 02:41:01,894 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 02:41:01,895 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/checker.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 02:41:01,895 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/c_array_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 02:41:01,896 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe 2024-01-19 02:41:01,896 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test 2024-01-19 02:41:01,896 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb 2024-01-19 02:41:01,897 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:01,897 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:01,897 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_script.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:01,899 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common 2024-01-19 02:41:01,899 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software 2024-01-19 02:41:01,899 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-01-19 02:41:01,899 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/nbf.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-01-19 02:41:01,900 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/blood_graph.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-01-19 02:41:01,900 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/pc_histogram.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-01-19 02:41:01,902 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top 2024-01-19 02:41:01,902 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software 2024-01-19 02:41:01,902 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py 2024-01-19 02:41:01,902 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py/ptgen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py 2024-01-19 02:41:01,903 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external 2024-01-19 02:41:01,903 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 02:41:01,903 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:01,903 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:01,905 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:01,905 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_ascii_to_rom.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:01,906 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:01,906 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:01,908 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:01,908 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:01,909 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 02:41:01,909 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy 2024-01-19 02:41:01,910 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net 2024-01-19 02:41:01,910 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 02:41:01,910 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/generate_tb.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 02:41:01,913 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard 2024-01-19 02:41:01,913 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14 2024-01-19 02:41:01,913 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:01,913 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_rf_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:01,914 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 02:41:01,914 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_gate_stack_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 02:41:01,914 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_dff_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 02:41:01,915 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_reduce_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 02:41:01,915 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 02:41:01,916 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40 2024-01-19 02:41:01,916 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 02:41:01,916 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_rf_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 02:41:01,917 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:01,917 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_gate_stack_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:01,918 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:01,918 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:01,918 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:01,919 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 02:41:01,919 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 02:41:01,920 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 02:41:01,920 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 02:41:01,920 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_and_csa_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 02:41:01,921 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_comp42_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 02:41:01,921 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250 2024-01-19 02:41:01,921 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 02:41:01,922 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_rf_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 02:41:01,922 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:01,922 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_gate_stack_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:01,923 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:01,923 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:01,923 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:01,924 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow 2024-01-19 02:41:01,924 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow 2024-01-19 02:41:01,925 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 02:41:01,925 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 02:41:01,925 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 02:41:01,926 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 02:41:01,926 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_and_csa_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 02:41:01,926 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_comp42_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 02:41:01,931 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing 2024-01-19 02:41:01,931 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache 2024-01-19 02:41:01,931 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:01,931 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:01,932 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:01,932 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:01,932 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_tagfl1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:01,932 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:01,933 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_mask1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:01,933 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_stride1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:01,933 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:01,934 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:01,934 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:01,934 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:01,934 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_alock1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:01,935 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_base.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:01,935 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:01,935 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:01,936 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic4.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:01,936 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:01,936 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_block1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:01,936 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_aflinv1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:01,937 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:01,937 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:01,937 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 02:41:01,938 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/axe_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 02:41:01,938 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:01,938 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:01,939 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:01,939 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_base.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:01,939 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiset.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:01,940 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiway.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:01,940 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,941 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_zorder.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,941 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,941 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_alock.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,942 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_long_interval.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,942 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_clean_read.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,942 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,942 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,943 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_byte.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,943 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,943 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/bsg_cache_non_blocking_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,944 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_afl.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,944 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,944 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_stride.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,944 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_miss_fifo_cov.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,945 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_pe_cover.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,945 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_square.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,945 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_tag_access.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,946 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,946 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,946 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,946 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ld_st.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,947 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_base.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,947 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,947 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_burst.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,948 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_tagfl.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,948 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_linear.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,948 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ainv.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,948 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_flush.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:01,949 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 02:41:01,949 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 02:41:01,949 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way8.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 02:41:01,950 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way4.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 02:41:01,950 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:01,951 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:01,951 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:01,951 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:01,951 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_mask1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:01,952 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:01,952 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:01,952 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic2.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:01,953 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic1.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:01,953 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_base.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:01,953 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic4.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:01,953 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic3.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:01,954 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 02:41:01,954 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/dmc_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 02:41:01,954 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 02:41:01,955 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_cache_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 02:41:01,957 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem 2024-01-19 02:41:01,957 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-01-19 02:41:01,958 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/tracegen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-01-19 02:41:01,961 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc 2024-01-19 02:41:01,961 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:01,961 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/random_stim.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:01,963 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test 2024-01-19 02:41:01,963 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:01,963 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:01,964 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_read.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:01,964 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/const_random.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:01,964 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_write.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:01,964 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/miss_latency.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:01,965 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/full_random.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:01,965 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 02:41:01,965 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/latency.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 02:41:01,966 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/hbm_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 02:41:01,966 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 02:41:01,967 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/latency.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 02:41:01,967 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/hbm_trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 02:41:01,968 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 02:41:01,968 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/test_reorder.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 02:41:01,968 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/random_full.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 02:41:01,968 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/trace_gen_base.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 02:41:01,969 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 02:41:01,969 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/constrained_random.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 02:41:01,969 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load_conflict.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 02:41:01,970 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 02:41:01,974 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be 2024-01-19 02:41:01,974 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test 2024-01-19 02:41:01,974 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb 2024-01-19 02:41:01,974 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:01,974 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_gen.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:01,975 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_script.py -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:01,978 root INFO running egg_info 2024-01-19 02:41:01,978 root INFO creating pythondata_cpu_blackparrot.egg-info 2024-01-19 02:41:01,980 root INFO writing pythondata_cpu_blackparrot.egg-info/PKG-INFO 2024-01-19 02:41:01,980 root INFO writing dependency_links to pythondata_cpu_blackparrot.egg-info/dependency_links.txt 2024-01-19 02:41:01,981 root INFO writing top-level names to pythondata_cpu_blackparrot.egg-info/top_level.txt 2024-01-19 02:41:01,981 root INFO writing manifest file 'pythondata_cpu_blackparrot.egg-info/SOURCES.txt' 2024-01-19 02:41:02,040 root INFO reading manifest file 'pythondata_cpu_blackparrot.egg-info/SOURCES.txt' 2024-01-19 02:41:02,041 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 02:41:02,078 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 02:41:02,078 root INFO adding license file 'LICENSE' 2024-01-19 02:41:02,107 root INFO writing manifest file 'pythondata_cpu_blackparrot.egg-info/SOURCES.txt' 2024-01-19 02:41:02,187 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 02:41:02,188 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.gitlab-ci.yml -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 02:41:02,188 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/CONTRIBUTING.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 02:41:02,188 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/LICENSE -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 02:41:02,189 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 02:41:02,189 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile.common -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 02:41:02,189 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile.tools -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 02:41:02,190 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 02:41:02,190 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github 2024-01-19 02:41:02,190 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/PULL_REQUEST_TEMPLATE.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github 2024-01-19 02:41:02,190 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-01-19 02:41:02,191 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/bug_report.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-01-19 02:41:02,191 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/config.yml -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-01-19 02:41:02,191 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/feature_request.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-01-19 02:41:02,191 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/informational.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-01-19 02:41:02,192 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-01-19 02:41:02,192 root INFO copying pythondata_cpu_blackparrot/system_verilog/bp_litex/bp2wb_convertor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-01-19 02:41:02,192 root INFO copying pythondata_cpu_blackparrot/system_verilog/bp_litex/cce_ucode.mem -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-01-19 02:41:02,193 root INFO copying pythondata_cpu_blackparrot/system_verilog/bp_litex/flist.fpga -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-01-19 02:41:02,193 root INFO copying pythondata_cpu_blackparrot/system_verilog/bp_litex/flist.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-01-19 02:41:02,193 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me 2024-01-19 02:41:02,194 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe 2024-01-19 02:41:02,194 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,194 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bloodgraph.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,194 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bootrom.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,195 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_design.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,195 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_loops.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,195 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/checkpoint.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,195 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dcache_regress.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,196 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dram.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,196 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/example_job.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,196 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/icache_regress.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,197 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/l2e_config.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,197 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/lint.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,197 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/linux.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,197 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/me_regress.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,198 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/multicore.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,198 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_atomics.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,198 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_testlist.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,199 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/surelog.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,199 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/sv2v.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,199 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/synth_vivado.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,199 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/tire_kick.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,200 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/weird_config.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,200 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/tools 2024-01-19 02:41:02,200 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/tools/Makefile.common -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/tools 2024-01-19 02:41:02,201 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be 2024-01-19 02:41:02,201 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,201 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/backend_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,201 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,202 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,202 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_microcode_table.pdf -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,202 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_uarch_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,203 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bp_logo.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,203 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/cce_micro.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,204 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/core_micro.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,205 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_boot.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,205 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_cache.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,206 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_cfgbus.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,207 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_dma.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,208 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_freeze.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,209 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_io.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,210 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_rf.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,211 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/eval_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,211 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/interface_specification.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,211 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_bug.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,213 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_feature.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,213 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_info.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,214 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/microarchitecture_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,214 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/platform_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,215 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/style_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,215 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/testbench_guide.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,215 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/tile_taxonomy.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,217 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-01-19 02:41:02,217 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-01-19 02:41:02,218 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/coverage_hier.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-01-19 02:41:02,218 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/coverage_hier.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-01-19 02:41:02,218 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-01-19 02:41:02,219 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol 2024-01-19 02:41:02,219 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol 2024-01-19 02:41:02,219 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,219 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_cce_dir_nonsynth_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,220 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_cce_mmio_cfg_loader.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,220 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_ddr.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,221 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_cce_dir_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,221 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_cce_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,221 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_lce_tr_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,222 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_lce_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,222 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_mock_lce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,222 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_mock_lce_tag_lookup.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,223 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,223 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_mem_nonsynth_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,224 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_nonsynth_dram.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,224 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_nonsynth_mem.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,224 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bsg_trace_node_master.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,225 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.cfgs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,225 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.dc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,225 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.params -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,225 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.testlist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,226 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,226 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,226 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,227 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_bp.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,227 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/testbench.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,227 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/wrapper.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,228 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols 2024-01-19 02:41:02,228 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols 2024-01-19 02:41:02,228 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols/mesi.m -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols 2024-01-19 02:41:02,228 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-01-19 02:41:02,229 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-01-19 02:41:02,229 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/mesi.m -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-01-19 02:41:02,229 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/moesi.m -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-01-19 02:41:02,229 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/msi.m -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-01-19 02:41:02,230 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src 2024-01-19 02:41:02,230 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 02:41:02,230 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_axi_pkgdef.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 02:41:02,230 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cache_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 02:41:02,231 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 02:41:02,231 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_inst_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 02:41:02,231 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_inst_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 02:41:02,232 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 02:41:02,232 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 02:41:02,232 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_wormhole_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 02:41:02,233 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v 2024-01-19 02:41:02,233 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-01-19 02:41:02,233 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-01-19 02:41:02,233 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce_cmd.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-01-19 02:41:02,234 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce_req.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-01-19 02:41:02,234 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,234 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_burst_to_lite.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,234 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_lite_to_burst.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,235 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_lite_to_stream.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,235 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_addr_to_cce_id.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,235 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_id_to_cord.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,236 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_bidir.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,236 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_client.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,236 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_master.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,237 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cord_to_id.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,237 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_lce_id_to_cord.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,237 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_cmd.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,238 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_req.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,238 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_resp.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,238 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_mem_cmd.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,238 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_mem_resp.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,239 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_stream_to_lite.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,239 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-01-19 02:41:02,239 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_bedrock_register.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-01-19 02:41:02,239 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_cfg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-01-19 02:41:02,240 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_clint_slice.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-01-19 02:41:02,240 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_loopback.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-01-19 02:41:02,240 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,241 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,241 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_alu.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,241 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_arbitrate.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,242 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_branch.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,242 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,242 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_lru_extract.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,242 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_segment.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,243 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_tag_checker.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,243 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_fsm.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,244 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_fsm_top.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,244 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_gad.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,244 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_decode.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,245 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_predecode.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,245 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_ram.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,245 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_stall.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,245 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_msg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,246 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_pending_bits.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,246 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_pma.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,246 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_reg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,247 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_spec_bits.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,247 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_src_sel.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,247 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_wrapper.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,248 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_io_cce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,248 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_uce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,248 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-01-19 02:41:02,248 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/axi_fifo.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-01-19 02:41:02,249 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/axi_lite_to_bp_lite_client.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-01-19 02:41:02,249 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/bp_lite_to_axi_lite_master.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-01-19 02:41:02,249 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache 2024-01-19 02:41:02,250 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache/bp_me_cce_to_cache.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache 2024-01-19 02:41:02,250 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts 2024-01-19 02:41:02,250 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/build.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts 2024-01-19 02:41:02,250 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/run.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts 2024-01-19 02:41:02,251 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 02:41:02,251 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 02:41:02,251 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/coverage_hier.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 02:41:02,251 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/coverage_hier.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 02:41:02,252 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 02:41:02,252 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/test.lst -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 02:41:02,252 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-01-19 02:41:02,253 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_icache.svg -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-01-19 02:41:02,254 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_pcgen.svg -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-01-19 02:41:02,255 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_top.svg -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-01-19 02:41:02,256 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.cfgs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,256 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.dc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,257 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.frag -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,257 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.params -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,257 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.testlist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,257 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,258 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,258 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,258 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/test_bp.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,259 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/testbench.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,259 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/wrapper.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,259 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src 2024-01-19 02:41:02,259 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 02:41:02,260 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_decompress.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 02:41:02,260 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 02:41:02,260 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_icache_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 02:41:02,260 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_icache_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 02:41:02,261 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 02:41:02,261 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 02:41:02,261 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_bht.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 02:41:02,261 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_btb.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 02:41:02,262 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_icache.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 02:41:02,262 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_instr_scan.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 02:41:02,262 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_pc_gen.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 02:41:02,263 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_top.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 02:41:02,263 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 02:41:02,263 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.common -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 02:41:02,264 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.dc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 02:41:02,264 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.surelog -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 02:41:02,264 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.sv2v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 02:41:02,264 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 02:41:02,265 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 02:41:02,265 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.vivado -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 02:41:02,265 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 02:41:02,266 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl 2024-01-19 02:41:02,266 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl/dc_elab.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl 2024-01-19 02:41:02,266 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl/vivado_synth.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl 2024-01-19 02:41:02,266 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 02:41:02,267 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 02:41:02,267 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 02:41:02,267 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 02:41:02,267 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 02:41:02,268 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 02:41:02,268 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_3r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 02:41:02,268 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src 2024-01-19 02:41:02,268 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,269 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_addr_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,269 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_addr_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,269 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_aviary_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,270 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_aviary_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,270 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_bedrock_if.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,270 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_bedrock_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,271 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_engine_if.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,271 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_engine_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,271 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,272 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cfg_bus_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,272 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cfg_bus_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,272 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_clint_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,272 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_core_if.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,273 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_core_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,273 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,273 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_host_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,274 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_log_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,274 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,274 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_csr_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,275 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_instr_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,275 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,275 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,275 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_mmu.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,276 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_pma.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,276 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_tlb.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,276 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_async_noc_link.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,277 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_bus_pack.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,277 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_cache_dma_to_wormhole.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,277 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_dff_reset_half.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,278 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_fifo_1r1w_rolly.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,278 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_parallel_in_serial_out_passthrough_dynamic.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,278 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_rom_param.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,278 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_serial_in_parallel_out_passthrough_dynamic.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,279 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_control.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,279 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,279 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,280 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_to_cache_dma_fanout.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,280 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 02:41:02,280 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 02:41:02,281 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/coverage_hier.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 02:41:02,281 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/coverage_hier.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 02:41:02,281 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 02:41:02,281 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/lint_settings.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 02:41:02,282 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test 2024-01-19 02:41:02,282 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,282 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_monitor.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,282 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_branch_profiler.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,283 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_cache_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,283 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_core_profiler.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,283 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_cosim.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,284 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_host.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,284 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_if_verif.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,284 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_nbf_loader.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,285 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_pc_profiler.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,285 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_perf.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,285 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_vm_tracer.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,285 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_watchdog.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,286 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/dromajo_cosim.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,286 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb 2024-01-19 02:41:02,286 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,286 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.cfgs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,287 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.dc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,287 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.params -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,287 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.surelog -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,288 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.sv2v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,288 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.testlist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,288 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,288 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,289 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.vivado -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,289 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/design.xdc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,289 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,290 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.dump -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,290 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.mem -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,290 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.riscv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,291 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/test_bp.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,291 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/testbench.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,291 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/wrapper.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,292 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src 2024-01-19 02:41:02,292 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include 2024-01-19 02:41:02,292 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include/bp_top_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include 2024-01-19 02:41:02,292 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include/bp_top_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include 2024-01-19 02:41:02,293 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,293 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_complex.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,293 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_tile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,293 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_tile_node.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,294 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_vdp.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,294 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,294 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core_complex.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,295 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core_minimal.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,295 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_complex.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,295 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_link_to_lce.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,296 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_tile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,296 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_tile_node.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,296 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_l2e_tile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,297 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_l2e_tile_node.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,297 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_mem_complex.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,297 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_multicore.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,298 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_nd_socket.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,298 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_complex.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,298 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_tile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,298 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_tile_node.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,299 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_vdp.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,299 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_tile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,299 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_tile_node.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,300 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_unicore.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,300 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_unicore_lite.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,300 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 02:41:02,301 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/.gitmodules -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 02:41:02,301 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/LICENSE -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 02:41:02,301 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 02:41:02,302 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/README_contributing -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 02:41:02,302 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-01-19 02:41:02,302 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/COPYING.txt -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-01-19 02:41:02,302 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.html -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-01-19 02:41:02,303 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-01-19 02:41:02,303 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.txt -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-01-19 02:41:02,303 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,303 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,304 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,304 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_decode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,304 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_dma.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,305 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_miss.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,305 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,305 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_data_mem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,306 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_decode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,306 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_dma.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,306 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_mhu.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,307 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_miss_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,307 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,307 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_stat_mem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,308 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_tag_mem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,308 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_tl_stage.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,308 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,308 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,309 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf_queue.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,309 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,309 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_rx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,310 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_tx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,310 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,310 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl_rx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,311 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl_tx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,311 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,311 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_rx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,311 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_rx_reorder.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,312 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_tx.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,312 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_nonsynth_cache_axe_tracer.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,312 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_barrier.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,313 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,313 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_buffered.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,313 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_decoder_dor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,314 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,314 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_stitch.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,314 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,315 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_links.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,315 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,315 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_repeater_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,316 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_ready_and_link_async_to_wormhole.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,316 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_router_crossbar_o_by_i.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,316 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,317 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,317 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,317 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,318 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,318 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,318 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,318 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,319 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_decoder_dor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,319 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_input_control.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,319 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_output_control.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,320 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,320 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 02:41:02,320 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_client.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 02:41:02,321 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_client_unsync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 02:41:02,321 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 02:41:02,321 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_master_decentralized.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 02:41:02,322 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 02:41:02,322 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_trace_replay.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 02:41:02,322 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 02:41:02,322 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_credit_counter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 02:41:02,323 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 02:41:02,323 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_ptr_gray.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 02:41:02,323 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_launch_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 02:41:02,324 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 02:41:02,324 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy 2024-01-19 02:41:02,324 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy/bsg_murn_converter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy 2024-01-19 02:41:02,324 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,325 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,325 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_in_no_fc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,325 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,325 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,326 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_murn_gateway.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,326 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_async_buffer.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,326 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_level_shift_fsb_domain.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,327 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_level_shift_node_domain.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,327 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_trace_replay.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,327 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,328 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_nonsynth_fsb_node_trace_replay.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,328 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,328 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,328 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_add_sub.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,329 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_classify.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,329 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_clz.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,329 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_cmp.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,329 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_defines.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,330 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_f2i.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,330 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_i2f.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,330 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_mul.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,330 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_preprocess.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,331 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_sticky.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,331 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,331 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,331 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_replacement.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,331 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,332 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_sync_unmanaged.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,332 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_tag_array.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,332 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_unmanaged.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,332 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,333 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,333 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,333 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,333 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,333 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_var.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,334 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,334 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,334 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,334 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_banked.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,334 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,335 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_banked.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,335 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,335 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,335 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_banked.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,336 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,336 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_var.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,336 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,336 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,336 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,337 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_sync_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,337 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,337 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,337 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,338 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_sync_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,338 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,338 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_banked_crossbar.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,338 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_dma.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,338 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_dma.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,339 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_multiport.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,339 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1r1w_sync_dma.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,339 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1r1w_sync_mask_write_byte_dma.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,339 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_assoc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,340 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_assoc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,340 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,340 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_abs.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,340 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_cin.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,340 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,341 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_ripple_carry.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,341 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_and.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,341 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_arb_fixed.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,341 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_arb_round_robin.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,341 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_array_concentrate_static.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,342 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_array_reverse.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,342 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_binary_plus_one_to_gray.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,342 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_buf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,342 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_buf_ctrl.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,343 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_circular_ptr.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,343 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_clkbuf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,343 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_clkgate_optional.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,343 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_concentrate_static.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,343 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clear_up.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,344 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clear_up_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,344 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clock_downsample.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,344 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_dynamic_limit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,344 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_dynamic_limit_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,344 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_overflow_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,345 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_overflow_set_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,345 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_set_down.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,345 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_set_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,345 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_up_down.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,345 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_up_down_variable.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,346 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counting_leading_zeros.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,346 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_crossbar_control_basic_o_by_i.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,346 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_crossbar_o_by_i.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,346 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_cycle_counter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,347 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_decode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,347 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_decode_with_v.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,347 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_defines.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,347 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,347 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_async_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,348 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_chain.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,348 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,348 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_en_bypass.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,349 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,349 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_negedge_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,349 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,349 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,350 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_en_bypass.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,350 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_set_clear.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,350 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dlatch.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,350 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_edge_detect.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,350 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_encode_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,351 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_expand_bitmask.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,351 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_gray_to_binary.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,351 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_hash_bank.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,351 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_hash_bank_reverse.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,351 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_id_pool.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,352 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_idiv_iterative.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,352 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_idiv_iterative_controller.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,352 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_imul_iterative.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,352 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_inv.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,353 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_less_than.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,353 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_level_shift_up_down_sink.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,353 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_level_shift_up_down_source.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,353 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lfsr.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,353 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_locking_arb_fixed.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,354 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_backup.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,354 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_decode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,354 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_encode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,354 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,355 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_add_unsigned.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,355 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_array.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,355 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_array_row.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,355 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_pipelined.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,355 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_synth.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,356 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,356 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux2_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,356 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_bitwise.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,356 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_butterfly.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,357 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,357 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_segmented.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,357 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_muxi2_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,357 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nand.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,357 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nor2.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,358 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nor3.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,358 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_pg_tree.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,358 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_popcount.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,358 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_priority_encode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,358 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_priority_encode_one_hot_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,359 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_reduce.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,359 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_reduce_segmented.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,359 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_rotate_left.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,359 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_rotate_right.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,360 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,360 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_scan.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,360 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_strobe.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,360 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_swap.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,361 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_thermometer_count.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,361 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_tiehi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,361 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_tielo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,361 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_transpose.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,362 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_unconcentrate_static.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,362 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_wait_after_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,362 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_wait_cycles.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,362 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_xnor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,362 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_xor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,363 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 02:41:02,363 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 02:41:02,363 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 02:41:02,363 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen_osc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 02:41:02,363 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_dly_line.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 02:41:02,364 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_dram_clk_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 02:41:02,364 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_edge_balanced_mux4.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 02:41:02,364 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_nonsynth_clk_watcher.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 02:41:02,364 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,364 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_ddr_downstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,365 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_ddr_upstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,365 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_iddr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,365 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_isdr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,365 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_oddr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,366 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,366 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy_phase_align.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,366 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,366 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr_downstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,366 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr_upstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,367 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_downstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,367 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_upstream.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,367 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_upstream_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,367 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports 2024-01-19 02:41:02,367 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports 2024-01-19 02:41:02,368 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,368 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,368 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_assembler_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,368 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_assembler_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,368 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_comm_link.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,369 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_comm_link.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,369 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,369 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_master_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,369 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_slave.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,370 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_input.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,370 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_output.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,370 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/test_bsg_comm_link_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,370 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib 2024-01-19 02:41:02,371 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib 2024-01-19 02:41:02,371 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,371 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,371 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,371 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,372 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_ascii_writer.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,372 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_axi_mem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,372 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_clock_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,372 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_clock_gen_plusarg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,372 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_delay_line.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,373 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,373 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,373 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,373 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,374 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_cycle_counter.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,374 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_cycle_counter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,374 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_errno.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,374 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_fifo.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,374 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_from_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,375 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_gpio.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,375 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_gpio.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,375 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_rom.hpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,375 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,376 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_to_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,376 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,376 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,376 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3_map.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,376 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3_unmap.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,377 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_ramulator_hbm.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,377 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_random_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,377 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_reset_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,377 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_test_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,378 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_triwire.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,378 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_val_watcher_1p.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,378 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_ramulator_hbm.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,378 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_trace_replay.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,378 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/libdramsim3.mk -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,379 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_assembler_defines.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,379 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_bsg_clock_params.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,379 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_bsg_data_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,379 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs 2024-01-19 02:41:02,379 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs/BaseJump_STL_DAC_2018_Camera_Ready.pdf -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs 2024-01-19 02:41:02,381 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs 2024-01-19 02:41:02,381 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip 2024-01-19 02:41:02,381 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_nonsynth_mixin_motherboard.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip 2024-01-19 02:41:02,381 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,381 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,382 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged_fifo_shared.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,382 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_decode_comb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,382 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_encode_comb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,382 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_shift_decoder.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,382 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_narrow.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,383 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,383 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_in.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,383 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,383 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_wormhole.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,384 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_compare_and_swap.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,384 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_credit_to_token.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,384 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_large.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,384 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_large_banked.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,384 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_narrowed.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,385 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_pseudo_large.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,385 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,385 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_credit_on_input.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,385 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_hardened.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,386 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_unhardened.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,386 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1rw_large.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,386 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_bypass.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,386 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_reorder.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,386 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_shift_datapath.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,387 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_tracker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,387 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flatten_2D_array.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,387 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flow_convert.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,387 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flow_counter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,388 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_make_2D_array.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,388 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_one_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,388 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,388 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out_dynamic.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,388 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out_passthrough.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,389 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_permute_box.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,389 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_ready_to_credit_flow_converter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,389 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_relay_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,389 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_1_to_n.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,390 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_2_to_2.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,390 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_fifo_to_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,390 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_n_to_1.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,390 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sbox.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,390 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,393 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,394 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_dynamic.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,394 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_full.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,394 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_passthrough.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,394 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_shift_reg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,395 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sort_4.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,395 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sort_stable.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,395 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_two_buncher.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,395 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_two_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,396 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing 2024-01-19 02:41:02,396 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/Makefile.sim -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing 2024-01-19 02:41:02,396 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 02:41:02,396 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 02:41:02,396 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 02:41:02,397 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_clk_rst_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 02:41:02,397 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_controller.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 02:41:02,397 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 02:41:02,398 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 02:41:02,398 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net 2024-01-19 02:41:02,398 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 02:41:02,398 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/cfgtaggw.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 02:41:02,398 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_defs.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 02:41:02,399 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 02:41:02,399 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_snooper.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 02:41:02,399 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_utils.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 02:41:02,399 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/rNandMeta.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 02:41:02,400 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/relay_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 02:41:02,400 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-01-19 02:41:02,400 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.bib -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-01-19 02:41:02,400 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.pdf -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-01-19 02:41:02,401 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-01-19 02:41:02,401 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-01-19 02:41:02,402 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 02:41:02,402 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_file_setter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 02:41:02,402 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_node_bind.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 02:41:02,402 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_setter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 02:41:02,402 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_snooper_bind.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 02:41:02,403 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_spec.in -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 02:41:02,403 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/send_config_tag.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 02:41:02,403 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests 2024-01-19 02:41:02,403 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-01-19 02:41:02,403 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/cfg_tag_tb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-01-19 02:41:02,404 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/cfgtag.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-01-19 02:41:02,404 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/config_defs.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-01-19 02:41:02,404 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 02:41:02,404 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/config-net.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 02:41:02,404 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/config-node.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 02:41:02,405 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/metastability.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 02:41:02,405 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2-in0.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 02:41:02,405 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2-in1.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 02:41:02,405 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 02:41:02,405 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/our-cdc.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 02:41:02,406 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/relay-node.tex -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 02:41:02,406 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/ucsd_ccores.png -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 02:41:02,406 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-01-19 02:41:02,406 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_booth_4_block.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-01-19 02:41:02,407 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-01-19 02:41:02,407 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_comp42.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-01-19 02:41:02,407 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-01-19 02:41:02,407 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14 2024-01-19 02:41:02,408 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16 2024-01-19 02:41:02,408 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async 2024-01-19 02:41:02,408 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async/bsg_launch_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async 2024-01-19 02:41:02,408 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async/bsg_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async 2024-01-19 02:41:02,408 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 02:41:02,408 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 02:41:02,409 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 02:41:02,409 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 02:41:02,409 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 02:41:02,409 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 02:41:02,409 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-01-19 02:41:02,410 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_level_shift_up_down_sink.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-01-19 02:41:02,410 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_level_shift_up_down_source.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-01-19 02:41:02,410 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_mux.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-01-19 02:41:02,410 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus 2024-01-19 02:41:02,410 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem 2024-01-19 02:41:02,411 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem 2024-01-19 02:41:02,411 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc 2024-01-19 02:41:02,411 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc/bsg_mul_add_unsigned.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc 2024-01-19 02:41:02,411 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90 2024-01-19 02:41:02,411 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 02:41:02,411 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 02:41:02,412 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 02:41:02,412 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 02:41:02,412 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 02:41:02,412 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 02:41:02,412 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-01-19 02:41:02,413 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_clkgate_optional.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-01-19 02:41:02,413 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_dff_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-01-19 02:41:02,413 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_mux2_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-01-19 02:41:02,413 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_muxi2_gatestack.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-01-19 02:41:02,413 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40 2024-01-19 02:41:02,414 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-01-19 02:41:02,414 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-01-19 02:41:02,414 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-01-19 02:41:02,414 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-01-19 02:41:02,414 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-01-19 02:41:02,415 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async 2024-01-19 02:41:02,415 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async/bsg_launch_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async 2024-01-19 02:41:02,415 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async/bsg_sync_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async 2024-01-19 02:41:02,415 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,415 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1r1w_sync_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,416 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,416 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,416 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,416 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,417 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,417 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,417 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,417 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_2r1w_sync_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,417 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_3r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,418 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_3r1w_sync_macros.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,418 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 02:41:02,418 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_tiehi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 02:41:02,418 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_tielo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 02:41:02,418 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 02:41:02,419 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/README.verification -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 02:41:02,419 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_clk_gen_osc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 02:41:02,419 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 02:41:02,419 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 02:41:02,420 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 02:41:02,420 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link 2024-01-19 02:41:02,420 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/bsg_link_isdr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link 2024-01-19 02:41:02,420 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/bsg_link_osdr_phy.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link 2024-01-19 02:41:02,420 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 02:41:02,420 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 02:41:02,421 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/fo4.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 02:41:02,421 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/io.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 02:41:02,421 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/osc.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 02:41:02,421 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/setup.14.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 02:41:02,422 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/setup.sx -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 02:41:02,422 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl 2024-01-19 02:41:02,422 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl/bsg_link_ddr.constraints.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl 2024-01-19 02:41:02,422 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl/bsg_link_sdr.constraints.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl 2024-01-19 02:41:02,422 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 02:41:02,423 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 02:41:02,423 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 02:41:02,423 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 02:41:02,423 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 02:41:02,423 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 02:41:02,424 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_2r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 02:41:02,424 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 02:41:02,424 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_and.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,424 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_buf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,425 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_clkbuf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,425 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,425 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,425 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,425 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_reset_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,426 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_inv.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,426 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,426 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_bitwise.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,426 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,427 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_nand.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,427 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_nor3.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,427 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,427 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_tiehi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,427 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_tielo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,428 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_xnor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,428 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_xor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,428 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 02:41:02,428 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/README.verification -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 02:41:02,428 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_clk_gen_osc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 02:41:02,429 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_dly_line.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 02:41:02,429 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 02:41:02,429 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 02:41:02,429 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 02:41:02,429 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 02:41:02,430 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_and_csa_block_hard.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 02:41:02,430 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 02:41:02,430 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 02:41:02,430 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_place_mul_32_32.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 02:41:02,431 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 02:41:02,431 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 02:41:02,431 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/fo4.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 02:41:02,431 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/io.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 02:41:02,431 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/osc.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 02:41:02,432 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.180.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 02:41:02,432 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.250.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 02:41:02,432 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.40.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 02:41:02,432 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.sx -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 02:41:02,432 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 02:41:02,433 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 02:41:02,433 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 02:41:02,433 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 02:41:02,433 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 02:41:02,434 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_2r1w.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 02:41:02,434 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_2r1w_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 02:41:02,434 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_and.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,434 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_buf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,434 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_clkbuf.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,435 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,435 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,435 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_reset.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,435 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_reset_en.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,436 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_inv.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,436 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,436 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_one_hot.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,436 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_nand.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,436 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_nor3.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,437 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,437 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_tiehi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,437 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_tielo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,437 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_xnor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,437 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_xor.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,438 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 02:41:02,438 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/README.verification -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 02:41:02,438 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_clk_gen_osc.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 02:41:02,438 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 02:41:02,439 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 02:41:02,439 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 02:41:02,439 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_datapath.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow 2024-01-19 02:41:02,439 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 02:41:02,439 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_and_csa_block_hard.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 02:41:02,440 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 02:41:02,440 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 02:41:02,440 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_place_mul_32_32.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 02:41:02,440 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 02:41:02,440 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 02:41:02,441 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/io.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 02:41:02,441 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/osc.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 02:41:02,441 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.180.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 02:41:02,441 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.250.sp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 02:41:02,441 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.sx -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 02:41:02,442 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests 2024-01-19 02:41:02,442 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests 2024-01-19 02:41:02,442 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 02:41:02,442 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 02:41:02,442 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_comm_link_master_calib_skip_rom.trace.in -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 02:41:02,443 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_comm_link_master_calib_skip_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 02:41:02,443 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_source_sync_channel_control_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 02:41:02,443 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_source_sync_channel_control_master_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 02:41:02,443 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync 2024-01-19 02:41:02,443 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync 2024-01-19 02:41:02,444 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync/test_bsg_source_sync.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync 2024-01-19 02:41:02,444 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler 2024-01-19 02:41:02,444 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler/test_bsg_assembler.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler 2024-01-19 02:41:02,444 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link 2024-01-19 02:41:02,444 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link/test_bsg_comm_link.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link 2024-01-19 02:41:02,445 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv 2024-01-19 02:41:02,445 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti 2024-01-19 02:41:02,445 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti/bsg_vscale_hasti_converter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti 2024-01-19 02:41:02,445 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti/bsg_vscale_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti 2024-01-19 02:41:02,445 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-01-19 02:41:02,445 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_fsb_to_nasti_master_connector.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-01-19 02:41:02,446 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_fsb_to_nasti_slave_connector.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-01-19 02:41:02,446 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_nasti_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-01-19 02:41:02,446 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif 2024-01-19 02:41:02,446 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif/bsg_fsb_to_htif_connector.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif 2024-01-19 02:41:02,446 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io 2024-01-19 02:41:02,447 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth 2024-01-19 02:41:02,447 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth 2024-01-19 02:41:02,447 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests 2024-01-19 02:41:02,447 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests 2024-01-19 02:41:02,447 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb_gate_level.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests 2024-01-19 02:41:02,448 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 02:41:02,448 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_ddr_sampler.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 02:41:02,448 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_logic_analyzer.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 02:41:02,448 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_core.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 02:41:02,448 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_input.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 02:41:02,449 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_link.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 02:41:02,449 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_output.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 02:41:02,449 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/config_defs.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 02:41:02,449 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/definitions.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 02:41:02,450 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim 2024-01-19 02:41:02,450 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim 2024-01-19 02:41:02,450 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 02:41:02,450 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/bsg_mesosync_link.constraints.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 02:41:02,450 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/bsg_mesosync_link.dc.read_design.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 02:41:02,450 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/common_setup.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 02:41:02,451 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 02:41:02,451 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc_setup.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 02:41:02,451 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc_setup_filenames.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 02:41:02,451 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-01-19 02:41:02,451 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-01-19 02:41:02,452 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_chip_rocket.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-01-19 02:41:02,452 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_nonsynth_chipset_rocket_fsb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-01-19 02:41:02,452 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_rocket_core_fsb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-01-19 02:41:02,452 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math 2024-01-19 02:41:02,453 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-01-19 02:41:02,453 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-01-19 02:41:02,453 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/bsg_hypotenuse.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-01-19 02:41:02,453 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/test_bsg_hypotenuse.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-01-19 02:41:02,453 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-01-19 02:41:02,453 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-01-19 02:41:02,454 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-01-19 02:41:02,454 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/sqrt.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-01-19 02:41:02,454 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag 2024-01-19 02:41:02,454 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag 2024-01-19 02:41:02,454 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag 2024-01-19 02:41:02,455 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu 2024-01-19 02:41:02,455 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu 2024-01-19 02:41:02,455 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-01-19 02:41:02,455 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-01-19 02:41:02,455 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-01-19 02:41:02,456 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-01-19 02:41:02,456 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/test_bsg.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc 2024-01-19 02:41:02,456 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-01-19 02:41:02,456 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-01-19 02:41:02,456 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-01-19 02:41:02,457 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/bsg_nonsynth_clk_gen_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-01-19 02:41:02,457 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-01-19 02:41:02,457 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 02:41:02,457 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 02:41:02,458 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 02:41:02,458 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_downstream_encode.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 02:41:02,458 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 02:41:02,458 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 02:41:02,458 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 02:41:02,459 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-01-19 02:41:02,459 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-01-19 02:41:02,459 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/filelist.lst -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-01-19 02:41:02,459 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/tasks.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-01-19 02:41:02,459 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-01-19 02:41:02,460 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,460 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,460 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,460 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/sweep.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,460 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,461 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 02:41:02,461 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 02:41:02,461 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 02:41:02,461 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 02:41:02,462 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 02:41:02,462 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/wave.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 02:41:02,462 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 02:41:02,462 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 02:41:02,462 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 02:41:02,463 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/bsg_test_node_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 02:41:02,463 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 02:41:02,463 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 02:41:02,463 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:02,464 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:02,464 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:02,464 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/lru_stats.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:02,464 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:02,464 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/sweep.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:02,465 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:02,465 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,465 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,465 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,465 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/ainv_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,466 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/basic_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,466 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/block_ld_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,466 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,466 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_mhu.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,467 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_miss_fifo.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,467 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_tl_stage.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,467 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_top.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,467 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/exclusion.el -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,467 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,468 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/tag_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,468 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,468 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 02:41:02,468 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 02:41:02,469 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 02:41:02,469 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 02:41:02,469 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 02:41:02,469 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,469 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,470 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,470 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/basic_checker.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,470 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,470 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,471 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/width_sweep.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,471 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 02:41:02,471 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 02:41:02,471 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/bsg_test_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 02:41:02,471 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/bsg_trace_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 02:41:02,472 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 02:41:02,472 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 02:41:02,472 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/wave.tcl -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 02:41:02,472 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 02:41:02,473 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/basic_checker_32.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 02:41:02,473 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_dma_model.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 02:41:02,473 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_non_blocking_dma_model.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 02:41:02,474 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_random_yumi_gen.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 02:41:02,474 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc 2024-01-19 02:41:02,474 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier 2024-01-19 02:41:02,474 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier/test.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier 2024-01-19 02:41:02,474 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch 2024-01-19 02:41:02,475 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch/test_mesh_to_ring_stitch.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch 2024-01-19 02:41:02,475 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router 2024-01-19 02:41:02,475 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router 2024-01-19 02:41:02,475 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router 2024-01-19 02:41:02,475 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 02:41:02,475 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 02:41:02,476 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 02:41:02,476 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_test_node_client.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 02:41:02,476 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_test_node_master.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 02:41:02,476 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 02:41:02,477 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 02:41:02,477 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-01-19 02:41:02,477 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-01-19 02:41:02,477 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/bsg_trace_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-01-19 02:41:02,477 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-01-19 02:41:02,478 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-01-19 02:41:02,478 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-01-19 02:41:02,478 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-01-19 02:41:02,478 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/bsg_trace_rom.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-01-19 02:41:02,478 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-01-19 02:41:02,479 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-01-19 02:41:02,479 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 02:41:02,479 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 02:41:02,479 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 02:41:02,479 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/bsg_wormhole_router_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 02:41:02,480 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/bsg_wormhole_router_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 02:41:02,480 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 02:41:02,480 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 02:41:02,480 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 02:41:02,481 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 02:41:02,481 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/bsg_wormhole_concentrator_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 02:41:02,481 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/bsg_wormhole_concentrator_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 02:41:02,481 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 02:41:02,481 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 02:41:02,482 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 02:41:02,482 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/README.md -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 02:41:02,482 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 02:41:02,482 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test.sh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 02:41:02,482 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 02:41:02,483 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test_tile.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 02:41:02,483 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 02:41:02,483 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-01-19 02:41:02,483 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-01-19 02:41:02,483 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-01-19 02:41:02,484 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-01-19 02:41:02,484 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 02:41:02,484 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 02:41:02,484 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/i2f_32.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 02:41:02,484 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/rtl_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 02:41:02,485 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/synth_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 02:41:02,485 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 02:41:02,485 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 02:41:02,485 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 02:41:02,485 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/cmp_32.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 02:41:02,486 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/rtl_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 02:41:02,486 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/synth_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 02:41:02,486 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 02:41:02,486 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 02:41:02,486 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 02:41:02,487 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/mul_32.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 02:41:02,487 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/rtl_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 02:41:02,487 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/synth_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 02:41:02,487 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 02:41:02,487 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 02:41:02,488 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 02:41:02,488 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/add_sub_32.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 02:41:02,488 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/rtl_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 02:41:02,488 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/synth_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 02:41:02,488 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 02:41:02,489 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 02:41:02,489 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 02:41:02,489 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/f2i_32.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 02:41:02,489 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/rtl_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 02:41:02,489 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/synth_sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 02:41:02,490 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 02:41:02,490 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common 2024-01-19 02:41:02,490 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common/fpu_common.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common 2024-01-19 02:41:02,490 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common/fpu_common.h -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common 2024-01-19 02:41:02,490 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync 2024-01-19 02:41:02,491 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync 2024-01-19 02:41:02,491 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync 2024-01-19 02:41:02,491 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar 2024-01-19 02:41:02,491 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar 2024-01-19 02:41:02,491 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar 2024-01-19 02:41:02,492 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-01-19 02:41:02,492 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-01-19 02:41:02,492 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-01-19 02:41:02,492 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-01-19 02:41:02,492 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-01-19 02:41:02,493 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-01-19 02:41:02,493 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-01-19 02:41:02,493 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-01-19 02:41:02,493 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-01-19 02:41:02,494 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-01-19 02:41:02,494 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-01-19 02:41:02,494 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-01-19 02:41:02,494 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-01-19 02:41:02,494 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w 2024-01-19 02:41:02,495 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w 2024-01-19 02:41:02,495 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w 2024-01-19 02:41:02,495 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w 2024-01-19 02:41:02,495 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w 2024-01-19 02:41:02,495 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w 2024-01-19 02:41:02,496 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb 2024-01-19 02:41:02,496 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb 2024-01-19 02:41:02,496 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb 2024-01-19 02:41:02,496 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot 2024-01-19 02:41:02,496 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot 2024-01-19 02:41:02,496 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-01-19 02:41:02,497 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-01-19 02:41:02,497 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/filelist.f -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-01-19 02:41:02,497 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-01-19 02:41:02,497 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter 2024-01-19 02:41:02,497 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter 2024-01-19 02:41:02,498 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter 2024-01-19 02:41:02,498 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit 2024-01-19 02:41:02,498 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit 2024-01-19 02:41:02,498 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit 2024-01-19 02:41:02,498 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray 2024-01-19 02:41:02,499 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray 2024-01-19 02:41:02,499 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray 2024-01-19 02:41:02,499 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-01-19 02:41:02,499 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-01-19 02:41:02,499 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-01-19 02:41:02,500 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-01-19 02:41:02,500 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-01-19 02:41:02,500 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-01-19 02:41:02,500 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-01-19 02:41:02,500 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-01-19 02:41:02,501 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan 2024-01-19 02:41:02,501 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan 2024-01-19 02:41:02,501 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan 2024-01-19 02:41:02,501 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample 2024-01-19 02:41:02,501 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample 2024-01-19 02:41:02,502 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample 2024-01-19 02:41:02,502 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset 2024-01-19 02:41:02,502 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset 2024-01-19 02:41:02,502 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset 2024-01-19 02:41:02,502 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left 2024-01-19 02:41:02,503 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left/main.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left 2024-01-19 02:41:02,503 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul 2024-01-19 02:41:02,503 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul 2024-01-19 02:41:02,503 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul 2024-01-19 02:41:02,503 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin 2024-01-19 02:41:02,503 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin/test.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin 2024-01-19 02:41:02,504 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-01-19 02:41:02,504 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-01-19 02:41:02,504 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/another_test.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-01-19 02:41:02,504 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-01-19 02:41:02,504 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount 2024-01-19 02:41:02,505 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount 2024-01-19 02:41:02,505 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount 2024-01-19 02:41:02,505 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count 2024-01-19 02:41:02,505 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count 2024-01-19 02:41:02,505 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count 2024-01-19 02:41:02,506 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-01-19 02:41:02,506 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-01-19 02:41:02,506 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-01-19 02:41:02,506 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-01-19 02:41:02,506 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb 2024-01-19 02:41:02,507 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb 2024-01-19 02:41:02,507 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb 2024-01-19 02:41:02,507 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree 2024-01-19 02:41:02,507 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree/test.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree 2024-01-19 02:41:02,507 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary 2024-01-19 02:41:02,508 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary 2024-01-19 02:41:02,508 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary 2024-01-19 02:41:02,508 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,508 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide.files -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,508 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_32.stim -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,509 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_4.stim -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,509 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_64.stim -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,509 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/get_stim.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,509 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/mypli.tab -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,509 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/s_expected.txt -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,510 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/signed.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,510 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,510 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/u_expected.txt -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,510 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/unsigned.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,511 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles 2024-01-19 02:41:02,511 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles 2024-01-19 02:41:02,511 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles 2024-01-19 02:41:02,511 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down 2024-01-19 02:41:02,511 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down 2024-01-19 02:41:02,511 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down 2024-01-19 02:41:02,512 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff 2024-01-19 02:41:02,512 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff 2024-01-19 02:41:02,512 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff 2024-01-19 02:41:02,512 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot 2024-01-19 02:41:02,512 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot 2024-01-19 02:41:02,513 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot 2024-01-19 02:41:02,513 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-01-19 02:41:02,513 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-01-19 02:41:02,513 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-01-19 02:41:02,513 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-01-19 02:41:02,514 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe 2024-01-19 02:41:02,514 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe 2024-01-19 02:41:02,514 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe 2024-01-19 02:41:02,514 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset 2024-01-19 02:41:02,514 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset 2024-01-19 02:41:02,515 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset 2024-01-19 02:41:02,515 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise 2024-01-19 02:41:02,515 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise 2024-01-19 02:41:02,515 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise 2024-01-19 02:41:02,515 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-01-19 02:41:02,516 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-01-19 02:41:02,516 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/tb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-01-19 02:41:02,516 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/verilator_top.cc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-01-19 02:41:02,516 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux 2024-01-19 02:41:02,516 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux 2024-01-19 02:41:02,517 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux 2024-01-19 02:41:02,517 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-01-19 02:41:02,517 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-01-19 02:41:02,517 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/bsg_link_sdr_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-01-19 02:41:02,517 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/bsg_link_sdr_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-01-19 02:41:02,518 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-01-19 02:41:02,518 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:02,518 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:02,518 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/cache_miss_counter.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:02,519 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:02,519 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/vcache_blocking.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:02,519 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen 2024-01-19 02:41:02,519 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen 2024-01-19 02:41:02,519 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen 2024-01-19 02:41:02,520 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 02:41:02,520 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 02:41:02,520 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 02:41:02,520 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 02:41:02,520 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/testbench_multi.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 02:41:02,521 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth 2024-01-19 02:41:02,521 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth 2024-01-19 02:41:02,521 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 02:41:02,521 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 02:41:02,522 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 02:41:02,522 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 02:41:02,522 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 02:41:02,522 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 02:41:02,522 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/dut.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 02:41:02,523 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/response.trace.out -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 02:41:02,523 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/stimulus.trace.in -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 02:41:02,523 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/test_bench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 02:41:02,523 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-01-19 02:41:02,523 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-01-19 02:41:02,524 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/main.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-01-19 02:41:02,524 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/top.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-01-19 02:41:02,524 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 02:41:02,524 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 02:41:02,524 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 02:41:02,525 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-01-19 02:41:02,525 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-01-19 02:41:02,525 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/main.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-01-19 02:41:02,525 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/top.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-01-19 02:41:02,525 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow 2024-01-19 02:41:02,526 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel 2024-01-19 02:41:02,526 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel 2024-01-19 02:41:02,526 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel 2024-01-19 02:41:02,526 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large 2024-01-19 02:41:02,526 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large 2024-01-19 02:41:02,527 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large/test_bsg_fifo_1r1w_large.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large 2024-01-19 02:41:02,527 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 02:41:02,527 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 02:41:02,527 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 02:41:02,527 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/bsg_parallel_in_serial_out_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 02:41:02,528 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/bsg_parallel_in_serial_out_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 02:41:02,528 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 02:41:02,528 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 02:41:02,528 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 02:41:02,528 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/README -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 02:41:02,529 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/bsg_fifo_1r1w_small_hardened_test_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 02:41:02,529 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/bsg_fifo_1r1w_small_hardened_tester.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 02:41:02,529 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/filelist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 02:41:02,529 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap 2024-01-19 02:41:02,529 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap 2024-01-19 02:41:02,530 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap 2024-01-19 02:41:02,530 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-01-19 02:41:02,530 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-01-19 02:41:02,530 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-01-19 02:41:02,530 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-01-19 02:41:02,531 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-01-19 02:41:02,531 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-01-19 02:41:02,531 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-01-19 02:41:02,531 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-01-19 02:41:02,531 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-01-19 02:41:02,532 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-01-19 02:41:02,532 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-01-19 02:41:02,532 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-01-19 02:41:02,532 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-01-19 02:41:02,532 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-01-19 02:41:02,533 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-01-19 02:41:02,533 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-01-19 02:41:02,533 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/bsg_test.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-01-19 02:41:02,533 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/test_bsg_fifo_1r1w_pseudo_large.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-01-19 02:41:02,533 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow 2024-01-19 02:41:02,534 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow 2024-01-19 02:41:02,534 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow/test_bsg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow 2024-01-19 02:41:02,534 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-01-19 02:41:02,534 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/.gitignore -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-01-19 02:41:02,534 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-01-19 02:41:02,535 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-01-19 02:41:02,535 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-01-19 02:41:02,535 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-01-19 02:41:02,535 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-01-19 02:41:02,535 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/remote_node.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-01-19 02:41:02,536 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/sv.include -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-01-19 02:41:02,536 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/testbench.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-01-19 02:41:02,536 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,536 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/1024Mb_mobile_ddr_parameters.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,536 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/128Mb_mobile_ddr_parameters.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,537 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/2048Mb_mobile_ddr_parameters.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,537 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/256Mb_mobile_ddr_parameters.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,537 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/512Mb_mobile_ddr_parameters.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,537 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/mobile_ddr.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,538 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/mobile_ddr_mcp.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,538 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/readme.txt -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,538 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/subtest.vh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,538 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/tb.do -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,539 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/tb.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,539 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-01-19 02:41:02,539 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-Verilog.html -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-01-19 02:41:02,539 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-test-Verilator.html -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-01-19 02:41:02,540 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-test-Verilog.html -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-01-19 02:41:02,540 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/fma.svg -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-01-19 02:41:02,540 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,540 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_consts.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,541 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_localFuncs.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,541 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_primitives.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,541 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_rawFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,541 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/addRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,541 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/bsg_hardfloat_pkg.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,542 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/compareRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,542 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/divSqrtRecFN_small.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,542 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/fNToRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,542 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/iNToRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,543 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/isSigNaNRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,543 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/mulAddRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,543 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/mulRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,543 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,543 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToIN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,544 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,544 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test 2024-01-19 02:41:02,544 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,544 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/addRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,544 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/compareRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,545 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/divSqrtRecFN_small_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,545 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/fNToRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,545 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/finish_fail-IcarusVerilog.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,545 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/iNToRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,546 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/mulAddRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,546 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/mulRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,546 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,546 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToIN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,546 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToRecFN_spec.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,547 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/sameRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,547 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_addRecFN_add.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,547 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_addRecFN_sub.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,547 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_compareRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,548 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_divSqrtRecFN_small_div.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,548 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_divSqrtRecFN_small_sqrt.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,548 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_iNToRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,548 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,549 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN_add.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,549 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN_mul.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,549 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,549 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,550 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToIN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,550 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToRecFN.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,550 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,550 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/testCommon.c -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,550 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/testCommon.h -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,551 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,551 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,551 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,551 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,552 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,552 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,552 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,552 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,553 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF128_small_div.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,553 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF128_small_sqrt.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,553 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF16_small_div.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,553 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF16_small_sqrt.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,554 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF32_small_div.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,554 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF32_small_sqrt.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,554 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF64_small_div.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,554 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF64_small_sqrt.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,555 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f128ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,555 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f16ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,555 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f32ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,555 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f64ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,555 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,556 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,556 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,556 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,556 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,557 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,557 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,557 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,557 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,558 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,558 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,558 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,558 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,559 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToI32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,559 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToI64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,559 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,559 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,560 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,560 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToUi32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,560 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToUi64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,560 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,561 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToI32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,561 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToI64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,561 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,561 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,561 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,562 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToUi32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,562 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToUi64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,562 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,562 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToI32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,563 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToI64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,563 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,563 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,563 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,564 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToUi32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,564 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToUi64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,564 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,564 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToI32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,565 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToI64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,565 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,565 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,565 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,566 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToUi32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,566 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToUi64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,566 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,566 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,566 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,567 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,567 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF128.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,567 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF16.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,567 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF32.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,568 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF64.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,568 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 2024-01-19 02:41:02,568 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2/HardFloat_specialize.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 2024-01-19 02:41:02,568 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2/HardFloat_specialize.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 2024-01-19 02:41:02,569 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE 2024-01-19 02:41:02,569 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE/HardFloat_specialize.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE 2024-01-19 02:41:02,569 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE/HardFloat_specialize.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE 2024-01-19 02:41:02,569 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV 2024-01-19 02:41:02,569 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV/HardFloat_specialize.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV 2024-01-19 02:41:02,570 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV/HardFloat_specialize.vi -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV 2024-01-19 02:41:02,570 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-01-19 02:41:02,570 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/Makefile -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-01-19 02:41:02,570 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/coverage_hier.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-01-19 02:41:02,570 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/coverage_hier.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-01-19 02:41:02,570 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-01-19 02:41:02,571 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.cfgs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:02,571 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.dc -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:02,571 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.params -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:02,571 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.testlist -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:02,572 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:02,572 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.verilator -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:02,572 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/flist.vcs -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:02,572 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/test_bp.cpp -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:02,572 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/testbench.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:02,573 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/wrapper.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:02,573 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src 2024-01-19 02:41:02,573 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 02:41:02,573 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_ctl_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 02:41:02,573 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_dcache_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 02:41:02,574 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_dcache_pkgdef.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 02:41:02,574 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_defines.svh -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 02:41:02,574 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_pkg.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 02:41:02,574 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v 2024-01-19 02:41:02,574 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_top.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v 2024-01-19 02:41:02,575 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 02:41:02,575 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_cmd_queue.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 02:41:02,575 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_detector.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 02:41:02,575 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_director.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 02:41:02,576 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_instr_decoder.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 02:41:02,576 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_issue_queue.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 02:41:02,576 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_regfile.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 02:41:02,576 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_scheduler.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 02:41:02,576 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_scoreboard.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 02:41:02,577 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,577 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_calculator_top.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,577 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_csr.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,577 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_fp_to_rec.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,578 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_aux.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,578 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_ctl.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,578 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_fma.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,578 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_int.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,578 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_long.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,579 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_mem.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,579 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_sys.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,579 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_ptw.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,579 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_rec_to_fp.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,580 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-01-19 02:41:02,580 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-01-19 02:41:02,580 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_decoder.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-01-19 02:41:02,580 root INFO copying pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_wbuf.sv -> build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-01-19 02:41:02,581 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga 2024-01-19 02:41:02,581 root INFO copying pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga/ExampleBlackParrotSystem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga 2024-01-19 02:41:02,581 root INFO creating build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation 2024-01-19 02:41:02,581 root INFO copying pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation/ExampleBlackParrotSystem.v -> build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation 2024-01-19 02:41:02,606 wheel INFO installing to build/bdist.linux-x86_64/wheel 2024-01-19 02:41:02,606 root INFO running install 2024-01-19 02:41:02,615 root INFO running install_lib 2024-01-19 02:41:02,617 root INFO creating build/bdist.linux-x86_64 2024-01-19 02:41:02,617 root INFO creating build/bdist.linux-x86_64/wheel 2024-01-19 02:41:02,617 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot 2024-01-19 02:41:02,617 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog 2024-01-19 02:41:02,617 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 02:41:02,618 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.gitlab-ci.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 02:41:02,618 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github 2024-01-19 02:41:02,618 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/PULL_REQUEST_TEMPLATE.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github 2024-01-19 02:41:02,618 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-01-19 02:41:02,618 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/bug_report.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-01-19 02:41:02,619 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/config.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-01-19 02:41:02,619 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/informational.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-01-19 02:41:02,619 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/feature_request.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE 2024-01-19 02:41:02,619 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me 2024-01-19 02:41:02,619 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-01-19 02:41:02,620 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/coverage_hier.verilator -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-01-19 02:41:02,620 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/flist.vcs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-01-19 02:41:02,620 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/coverage_hier.vcs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-01-19 02:41:02,620 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn 2024-01-19 02:41:02,620 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test 2024-01-19 02:41:02,621 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb 2024-01-19 02:41:02,621 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,621 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,621 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.vcs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,621 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.verilator -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,621 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.testlist -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,622 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_memory.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,622 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/bsg_trace_rom.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,622 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_bp.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,622 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.dc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,622 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.cfgs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,623 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/wrapper.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,623 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/flist.vcs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,623 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.params -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,623 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/testbench.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce 2024-01-19 02:41:02,623 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol 2024-01-19 02:41:02,624 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols 2024-01-19 02:41:02,624 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols 2024-01-19 02:41:02,624 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols/mesi.m -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols 2024-01-19 02:41:02,624 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-01-19 02:41:02,624 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/msi.m -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-01-19 02:41:02,625 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/moesi.m -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-01-19 02:41:02,625 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-01-19 02:41:02,625 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/mesi.m -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples 2024-01-19 02:41:02,625 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol 2024-01-19 02:41:02,625 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,626 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_mock_lce.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,626 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,626 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bsg_trace_node_master.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,626 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_lce_tr_tracer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,626 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_ddr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,627 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_cce_dir_nonsynth_tracer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,627 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_cce_mmio_cfg_loader.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,627 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_nonsynth_dram.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,627 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_nonsynth_mem.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,627 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_lce_tracer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,628 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_mock_lce_tag_lookup.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,628 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_cce_dir_tracer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,628 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_mem_nonsynth_tracer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,628 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_cce_tracer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common 2024-01-19 02:41:02,629 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me 2024-01-19 02:41:02,629 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src 2024-01-19 02:41:02,629 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 02:41:02,629 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_axi_pkgdef.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 02:41:02,629 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cache_defines.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 02:41:02,629 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_defines.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 02:41:02,630 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_inst_defines.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 02:41:02,630 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 02:41:02,630 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_wormhole_defines.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 02:41:02,630 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_inst_pkgdef.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 02:41:02,630 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_defines.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include 2024-01-19 02:41:02,631 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v 2024-01-19 02:41:02,631 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-01-19 02:41:02,631 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce_req.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-01-19 02:41:02,631 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce_cmd.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-01-19 02:41:02,631 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce 2024-01-19 02:41:02,632 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,632 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_master.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,632 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_stream_to_lite.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,632 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_bidir.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,632 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_cmd.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,633 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_lce_id_to_cord.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,633 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cord_to_id.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,633 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_req.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,633 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_lite_to_burst.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,633 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_addr_to_cce_id.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,634 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_resp.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,634 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_id_to_cord.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,634 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_burst_to_lite.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,634 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_mem_cmd.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,634 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_mem_resp.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,635 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_lite_to_stream.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,635 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_client.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole 2024-01-19 02:41:02,635 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-01-19 02:41:02,635 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_clint_slice.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-01-19 02:41:02,635 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_cfg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-01-19 02:41:02,636 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_loopback.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-01-19 02:41:02,636 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_bedrock_register.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev 2024-01-19 02:41:02,636 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,636 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_pending_bits.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,636 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_wrapper.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,637 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_ram.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,637 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_decode.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,637 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_branch.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,637 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_stall.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,638 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,638 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_uce.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,638 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_arbitrate.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,638 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_msg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,638 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_segment.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,639 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_lru_extract.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,639 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_io_cce.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,639 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_tag_checker.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,639 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_src_sel.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,639 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_alu.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,640 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,640 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_fsm_top.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,640 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_gad.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,640 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_pma.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,641 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_reg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,641 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_spec_bits.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,641 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_fsm.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,641 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_predecode.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce 2024-01-19 02:41:02,641 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-01-19 02:41:02,642 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/bp_lite_to_axi_lite_master.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-01-19 02:41:02,642 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/axi_lite_to_bp_lite_client.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-01-19 02:41:02,642 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/axi_fifo.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network 2024-01-19 02:41:02,642 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache 2024-01-19 02:41:02,642 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache/bp_me_cce_to_cache.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache 2024-01-19 02:41:02,643 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software 2024-01-19 02:41:02,643 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 02:41:02,643 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/axe_trace_filter.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 02:41:02,643 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/npa_addr_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 02:41:02,643 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/trace_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 02:41:02,644 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/checker.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 02:41:02,644 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/c_array_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py 2024-01-19 02:41:02,644 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts 2024-01-19 02:41:02,644 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/run.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts 2024-01-19 02:41:02,644 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/build.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts 2024-01-19 02:41:02,645 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 02:41:02,645 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe 2024-01-19 02:41:02,645 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 02:41:02,645 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/coverage_hier.verilator -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 02:41:02,645 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/test.lst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 02:41:02,645 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/flist.vcs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 02:41:02,646 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/coverage_hier.vcs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 02:41:02,646 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn 2024-01-19 02:41:02,646 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test 2024-01-19 02:41:02,646 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb 2024-01-19 02:41:02,646 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,647 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.vcs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,647 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.verilator -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,647 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.testlist -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,647 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,647 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/test_bp.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,647 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.frag -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,648 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.dc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,648 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.cfgs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,648 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/wrapper.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,648 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/flist.vcs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,648 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.params -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,649 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_script.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,649 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/testbench.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache 2024-01-19 02:41:02,649 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe 2024-01-19 02:41:02,649 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-01-19 02:41:02,649 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_icache.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-01-19 02:41:02,650 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_top.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-01-19 02:41:02,651 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_pcgen.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm 2024-01-19 02:41:02,652 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src 2024-01-19 02:41:02,652 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 02:41:02,652 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_icache_defines.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 02:41:02,652 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 02:41:02,653 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_icache_pkgdef.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 02:41:02,653 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_defines.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 02:41:02,653 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_decompress.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include 2024-01-19 02:41:02,653 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 02:41:02,653 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_instr_scan.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 02:41:02,654 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_top.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 02:41:02,654 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_pc_gen.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 02:41:02,654 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_btb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 02:41:02,654 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_icache.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 02:41:02,654 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_bht.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v 2024-01-19 02:41:02,655 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/LICENSE -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 02:41:02,655 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common 2024-01-19 02:41:02,655 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 02:41:02,655 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.vcs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 02:41:02,655 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.surelog -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 02:41:02,656 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.verilator -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 02:41:02,656 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.vivado -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 02:41:02,656 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl 2024-01-19 02:41:02,656 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl/vivado_synth.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl 2024-01-19 02:41:02,656 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl/dc_elab.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl 2024-01-19 02:41:02,657 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 02:41:02,657 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 02:41:02,657 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 02:41:02,657 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1r1w_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 02:41:02,657 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 02:41:02,658 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_3r1w_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 02:41:02,658 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_2r1w_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v 2024-01-19 02:41:02,658 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.common -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 02:41:02,658 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.sv2v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 02:41:02,658 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.dc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 02:41:02,658 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/flist.vcs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn 2024-01-19 02:41:02,659 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src 2024-01-19 02:41:02,659 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,659 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_aviary_defines.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,659 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_pkgdef.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,659 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_csr_defines.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,660 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_engine_if.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,660 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_clint_pkgdef.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,660 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_addr_pkgdef.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,660 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_addr_defines.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,660 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_engine_pkgdef.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,661 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_core_pkgdef.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,661 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_aviary_pkgdef.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,661 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_core_if.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,661 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_instr_defines.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,662 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,662 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_log_defines.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,662 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cfg_bus_defines.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,662 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_pkgdef.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,662 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_bedrock_pkgdef.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,663 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_bedrock_if.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,663 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cfg_bus_pkgdef.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,663 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_defines.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,663 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_host_pkgdef.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include 2024-01-19 02:41:02,663 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,664 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_tlb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,664 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_rom_param.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,664 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_mmu.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,664 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_cache_dma_to_wormhole.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,664 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_in.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,665 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_control.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,665 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_pma.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,665 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_parallel_in_serial_out_passthrough_dynamic.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,665 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_bus_pack.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,665 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_dff_reset_half.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,666 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_fifo_1r1w_rolly.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,666 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_to_cache_dma_fanout.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,666 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_out.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,666 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_async_noc_link.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,666 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_serial_in_parallel_out_passthrough_dynamic.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v 2024-01-19 02:41:02,667 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software 2024-01-19 02:41:02,667 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-01-19 02:41:02,667 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/nbf.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-01-19 02:41:02,667 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/blood_graph.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-01-19 02:41:02,667 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/pc_histogram.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py 2024-01-19 02:41:02,668 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 02:41:02,668 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top 2024-01-19 02:41:02,668 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 02:41:02,668 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/coverage_hier.verilator -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 02:41:02,668 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/flist.vcs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 02:41:02,669 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/lint_settings.verilator -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 02:41:02,669 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/coverage_hier.vcs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 02:41:02,669 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn 2024-01-19 02:41:02,669 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test 2024-01-19 02:41:02,669 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb 2024-01-19 02:41:02,670 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,670 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.dump -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,670 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.vcs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,670 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.surelog -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,670 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.verilator -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,671 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.testlist -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,671 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.riscv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,671 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.vivado -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,671 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/test_bp.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,671 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/design.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,672 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.mem -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,672 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.sv2v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,672 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.dc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,672 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.cfgs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,672 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/wrapper.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,673 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/flist.vcs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,673 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.params -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,673 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/testbench.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered 2024-01-19 02:41:02,673 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,673 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_watchdog.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,674 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_cache_tracer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,674 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_perf.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,674 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_branch_profiler.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,674 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_cosim.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,674 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/dromajo_cosim.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,675 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_pc_profiler.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,675 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_monitor.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,675 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_if_verif.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,675 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_host.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,675 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_nbf_loader.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,676 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_vm_tracer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,676 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_core_profiler.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common 2024-01-19 02:41:02,676 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src 2024-01-19 02:41:02,676 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include 2024-01-19 02:41:02,676 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include/bp_top_defines.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include 2024-01-19 02:41:02,677 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include/bp_top_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include 2024-01-19 02:41:02,677 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,677 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,677 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core_minimal.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,677 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_tile_node.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,678 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_l2e_tile.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,678 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_mem_complex.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,678 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_unicore_lite.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,678 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_tile.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,678 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_unicore.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,679 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_l2e_tile_node.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,679 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core_complex.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,679 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_tile.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,679 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_complex.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,679 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_nd_socket.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,680 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_tile_node.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,680 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_complex.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,680 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_link_to_lce.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,680 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_tile_node.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,680 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_vdp.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,681 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_tile.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,681 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_vdp.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,681 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_tile_node.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,681 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_tile.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,682 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_complex.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,682 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_multicore.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v 2024-01-19 02:41:02,682 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software 2024-01-19 02:41:02,682 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py 2024-01-19 02:41:02,682 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py/ptgen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py 2024-01-19 02:41:02,683 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/CONTRIBUTING.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 02:41:02,683 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,683 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_atomics.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,683 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/me_regress.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,683 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/multicore.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,684 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bloodgraph.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,684 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/example_job.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,684 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/checkpoint.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,684 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/lint.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,684 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/synth_vivado.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,684 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bootrom.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,685 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dcache_regress.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,685 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dram.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,685 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_testlist.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,685 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/sv2v.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,685 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/icache_regress.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,686 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/weird_config.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,686 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/l2e_config.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,686 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/linux.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,686 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/surelog.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,686 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_design.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,687 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/tire_kick.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,687 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_loops.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/ci 2024-01-19 02:41:02,687 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external 2024-01-19 02:41:02,687 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 02:41:02,687 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,687 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_rx.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,688 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_dma.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,688 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache.vh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,688 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,688 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_decode.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,689 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_tx.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,689 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_miss_fifo.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,689 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_tx.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,689 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,689 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,690 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_stat_mem.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,690 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl_tx.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,690 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_rx_reorder.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,690 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_tl_stage.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,690 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,691 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_mhu.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,691 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_tag_mem.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,691 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl_rx.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,691 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,691 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf_queue.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,692 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,692 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_decode.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,692 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_rx.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,692 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_miss.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,692 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_pkg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,693 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_nonsynth_cache_axe_tracer.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,693 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_dma.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,693 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_data_mem.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,693 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_pkg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache 2024-01-19 02:41:02,694 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,694 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter_out.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,694 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_input_control.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,694 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_pkg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,694 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,694 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_ready_and_link_async_to_wormhole.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,695 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,695 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator_out.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,695 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_repeater_node.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,695 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_barrier.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,695 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter_in.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,696 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,696 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_buffered.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,696 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,697 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_decoder_dor.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,697 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator_in.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,697 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_links.vh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,697 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,697 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_router_crossbar_o_by_i.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,698 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_pkg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,698 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_stitch.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,698 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_pkg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,698 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_decoder_dor.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,698 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router.vh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,699 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_output_control.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,699 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc 2024-01-19 02:41:02,699 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 02:41:02,699 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_client_unsync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 02:41:02,699 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy 2024-01-19 02:41:02,700 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net 2024-01-19 02:41:02,700 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests 2024-01-19 02:41:02,700 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-01-19 02:41:02,700 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/cfgtag.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-01-19 02:41:02,700 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/config_defs.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-01-19 02:41:02,700 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/cfg_tag_tb.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test 2024-01-19 02:41:02,701 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 02:41:02,701 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_snooper.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 02:41:02,701 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/relay_node.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 02:41:02,701 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/rNandMeta.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 02:41:02,701 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/cfgtaggw.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 02:41:02,702 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_utils.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 02:41:02,702 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_node.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 02:41:02,702 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_defs.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src 2024-01-19 02:41:02,702 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net 2024-01-19 02:41:02,702 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-01-19 02:41:02,703 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 02:41:02,703 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2.tex -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 02:41:02,703 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/ucsd_ccores.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 02:41:02,703 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/config-node.tex -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 02:41:02,703 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/relay-node.tex -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 02:41:02,704 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/our-cdc.tex -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 02:41:02,704 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2-in1.tex -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 02:41:02,704 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/metastability.tex -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 02:41:02,704 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2-in0.tex -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 02:41:02,704 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/config-net.tex -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures 2024-01-19 02:41:02,705 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.tex -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-01-19 02:41:02,705 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.pdf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-01-19 02:41:02,705 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.bib -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-01-19 02:41:02,706 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs 2024-01-19 02:41:02,706 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 02:41:02,706 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_node_bind.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 02:41:02,706 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_setter.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 02:41:02,706 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/send_config_tag.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 02:41:02,707 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_snooper_bind.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 02:41:02,707 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/generate_tb.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 02:41:02,707 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_file_setter.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 02:41:02,707 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 02:41:02,707 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_spec.in -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim 2024-01-19 02:41:02,708 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_trace_replay.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 02:41:02,708 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_client.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 02:41:02,708 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_pkg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 02:41:02,708 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag.vh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 02:41:02,708 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_master_decentralized.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 02:41:02,709 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_master.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag 2024-01-19 02:41:02,709 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 02:41:02,709 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_sync_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 02:41:02,709 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_launch_sync_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 02:41:02,709 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_ptr_gray.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 02:41:02,710 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_fifo.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 02:41:02,710 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_credit_counter.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async 2024-01-19 02:41:02,710 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy 2024-01-19 02:41:02,710 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy/bsg_murn_converter.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy 2024-01-19 02:41:02,710 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,711 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_out.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,711 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_nonsynth_fsb_node_trace_replay.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,711 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_level_shift_fsb_domain.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,711 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_level_shift_node_domain.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,711 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,712 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_pkg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,712 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_murn_gateway.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,712 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_async_buffer.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,712 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_in_no_fc.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,712 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_in.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,713 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_trace_replay.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb 2024-01-19 02:41:02,713 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/.gitmodules -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 02:41:02,713 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/README_contributing -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 02:41:02,713 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 02:41:02,713 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/LICENSE -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 02:41:02,714 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,714 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_sticky.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,714 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_clz.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,714 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,714 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_add_sub.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,715 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_cmp.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,715 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_classify.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,715 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_i2f.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,715 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_preprocess.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,715 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_f2i.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,716 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_mul.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,716 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_defines.vh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu 2024-01-19 02:41:02,716 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl 2024-01-19 02:41:02,716 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,716 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_sync_unmanaged.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,717 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1r1w_sync_mask_write_byte_dma.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,717 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,717 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,717 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit_synth.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,717 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_assoc.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,718 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_banked_crossbar.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,718 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,718 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_banked.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,718 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_synth.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,718 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_banked.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,719 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_multiport.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,719 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_var.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,719 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_synth.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,719 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_synth.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,719 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_dma.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,719 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,720 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_synth.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,720 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,720 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_sync_synth.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,720 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1r1w_sync_dma.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,720 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_sync_synth.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,721 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_one_hot.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,721 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,721 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_synth.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,721 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,721 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,722 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,722 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_replacement.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,722 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_synth.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,722 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_banked.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,722 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,722 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_tag_array.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,723 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/README -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,723 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_ascii_to_rom.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,723 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_dma.hpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,724 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,724 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,724 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_synth.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,724 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_unmanaged.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,724 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,725 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_assoc.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,725 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_var.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem 2024-01-19 02:41:02,725 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,725 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_ripple_carry.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,725 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_crossbar_control_basic_o_by_i.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,726 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_array_row.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,726 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_hash_bank.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,726 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_gatestack.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,726 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_en_bypass.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,726 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_inv.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,727 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_locking_arb_fixed.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,727 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_unconcentrate_static.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,727 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_id_pool.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,727 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_up_down_variable.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,727 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_expand_bitmask.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,728 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clear_up.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,728 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,728 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_level_shift_up_down_source.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,728 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clock_downsample.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,728 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_less_than.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,728 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_xor.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,729 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_priority_encode.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,729 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_array.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,729 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_set_down.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,729 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nor3.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,729 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_level_shift_up_down_sink.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,730 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_en.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,730 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_defines.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,730 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_edge_detect.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,730 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_dynamic_limit_en.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,730 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_up_down.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,731 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_dynamic_limit.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,731 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_xnor.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,731 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_transpose.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,731 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_bitwise.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,731 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_tielo.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,732 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,732 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-01-19 02:41:02,732 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-01-19 02:41:02,732 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-01-19 02:41:02,732 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_booth_4_block.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-01-19 02:41:02,733 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_comp42.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul 2024-01-19 02:41:02,733 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_idiv_iterative_controller.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,733 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_synth.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,733 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nand.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,733 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_encode_one_hot.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,733 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_set_en.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,734 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_one_hot.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,734 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux2_gatestack.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,734 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_hash_bank_reverse.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,734 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_concentrate_static.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,734 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_reduce.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,735 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_pipelined.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,735 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clear_up_one_hot.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,735 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_pg_tree.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,735 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_circular_ptr.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,735 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dlatch.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,736 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_priority_encode_one_hot_out.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,736 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_decode_with_v.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,736 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_tiehi.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,736 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lfsr.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,736 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_crossbar_o_by_i.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,737 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_butterfly.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,737 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_strobe.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,737 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,738 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_rotate_left.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,738 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_arb_round_robin.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,738 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_arb_fixed.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,738 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_overflow_en.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,738 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_chain.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,738 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_idiv_iterative.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,739 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_add_unsigned.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,739 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_swap.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,739 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_muxi2_gatestack.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,739 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_imul_iterative.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,739 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,740 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_abs.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,740 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_cycle_counter.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,740 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_reduce_segmented.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,740 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_cin.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,740 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,741 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_en_bypass.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,741 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_buf_ctrl.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,741 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_async_reset.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,741 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_rotate_right.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,741 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_and.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,742 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_scan.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,742 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counting_leading_zeros.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,742 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_decode.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,742 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_one_hot.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,742 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_wait_cycles.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,743 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_en.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,743 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_negedge_reset.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,743 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_segmented.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,743 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_binary_plus_one_to_gray.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,743 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_thermometer_count.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,744 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_array_reverse.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,744 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_wait_after_reset.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,744 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_popcount.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,744 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,744 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_set_clear.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,745 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_overflow_set_en.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,745 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_decode.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,745 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_buf.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,745 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_clkgate_optional.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,745 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_clkbuf.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,745 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_encode.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,746 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nor2.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,746 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_gray_to_binary.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,746 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_array_concentrate_static.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,746 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_backup.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc 2024-01-19 02:41:02,746 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard 2024-01-19 02:41:02,747 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16 2024-01-19 02:41:02,747 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async 2024-01-19 02:41:02,747 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async/bsg_sync_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async 2024-01-19 02:41:02,747 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async/bsg_launch_sync_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async 2024-01-19 02:41:02,748 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 02:41:02,748 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 02:41:02,748 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 02:41:02,748 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 02:41:02,748 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 02:41:02,748 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_2r1w_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem 2024-01-19 02:41:02,749 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-01-19 02:41:02,749 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_level_shift_up_down_source.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-01-19 02:41:02,749 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_level_shift_up_down_sink.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-01-19 02:41:02,749 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_mux.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc 2024-01-19 02:41:02,749 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus 2024-01-19 02:41:02,750 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem 2024-01-19 02:41:02,750 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem 2024-01-19 02:41:02,750 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc 2024-01-19 02:41:02,750 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc/bsg_mul_add_unsigned.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc 2024-01-19 02:41:02,750 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90 2024-01-19 02:41:02,751 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 02:41:02,751 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 02:41:02,751 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1r1w.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 02:41:02,751 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 02:41:02,751 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1r1w_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 02:41:02,752 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem 2024-01-19 02:41:02,752 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-01-19 02:41:02,752 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_dff_gatestack.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-01-19 02:41:02,752 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_mux2_gatestack.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-01-19 02:41:02,752 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_muxi2_gatestack.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-01-19 02:41:02,753 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_clkgate_optional.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc 2024-01-19 02:41:02,753 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40 2024-01-19 02:41:02,753 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-01-19 02:41:02,753 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-01-19 02:41:02,753 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-01-19 02:41:02,753 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-01-19 02:41:02,754 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_2r1w_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem 2024-01-19 02:41:02,754 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14 2024-01-19 02:41:02,754 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async 2024-01-19 02:41:02,754 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async/bsg_sync_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async 2024-01-19 02:41:02,754 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async/bsg_launch_sync_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async 2024-01-19 02:41:02,755 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14 2024-01-19 02:41:02,755 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,755 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_macros.vh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,755 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_macros.vh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,755 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_rf_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,756 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1r1w_sync_macros.vh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,756 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,756 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_macros.vh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,756 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_2r1w_sync_macros.vh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,757 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,757 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1r1w_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,757 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,757 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_3r1w_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,757 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_2r1w_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,758 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_3r1w_sync_macros.vh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem 2024-01-19 02:41:02,758 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 02:41:02,758 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_gate_stack_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 02:41:02,758 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_tielo.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 02:41:02,758 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_dff_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 02:41:02,759 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_reduce_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 02:41:02,759 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_tiehi.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 02:41:02,759 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 02:41:02,759 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc 2024-01-19 02:41:02,759 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 02:41:02,760 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 02:41:02,760 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/setup.sx -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 02:41:02,760 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/io.sp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 02:41:02,760 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/setup.14.sp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 02:41:02,760 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/osc.sp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 02:41:02,760 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/fo4.sp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 02:41:02,761 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice 2024-01-19 02:41:02,761 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/README.verification -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 02:41:02,761 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 02:41:02,761 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 02:41:02,761 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 02:41:02,762 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_clk_gen_osc.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen 2024-01-19 02:41:02,762 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link 2024-01-19 02:41:02,762 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/bsg_link_osdr_phy.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link 2024-01-19 02:41:02,762 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl 2024-01-19 02:41:02,763 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl/bsg_link_sdr.constraints.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl 2024-01-19 02:41:02,763 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl/bsg_link_ddr.constraints.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl 2024-01-19 02:41:02,763 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/bsg_link_isdr_phy.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link 2024-01-19 02:41:02,763 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40 2024-01-19 02:41:02,763 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 02:41:02,763 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_rf_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 02:41:02,764 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 02:41:02,764 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_2r1w.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 02:41:02,764 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 02:41:02,764 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 02:41:02,764 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 02:41:02,765 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 02:41:02,765 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 02:41:02,765 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_2r1w_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem 2024-01-19 02:41:02,765 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,765 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_inv.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,766 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_reset.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,766 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_xor.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,766 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_nor3.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,766 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_reset_en.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,766 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_xnor.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,767 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_gate_stack_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,767 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_bitwise.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,767 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_tielo.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,767 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,767 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 02:41:02,768 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 02:41:02,768 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_and_csa_block_hard.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 02:41:02,768 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 02:41:02,768 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 02:41:02,768 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 02:41:02,769 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 02:41:02,769 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_and_csa_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 02:41:02,769 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/README -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 02:41:02,769 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_place_mul_32_32.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 02:41:02,769 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_comp42_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul 2024-01-19 02:41:02,770 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_nand.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,770 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,770 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_one_hot.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,770 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,770 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,771 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_tiehi.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,771 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,771 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,771 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_and.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,771 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_en.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,772 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_buf.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,772 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_clkbuf.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc 2024-01-19 02:41:02,772 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 02:41:02,772 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 02:41:02,772 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.180.sp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 02:41:02,773 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.250.sp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 02:41:02,773 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.sx -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 02:41:02,773 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/io.sp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 02:41:02,773 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/osc.sp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 02:41:02,773 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/fo4.sp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 02:41:02,774 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 02:41:02,774 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.40.sp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice 2024-01-19 02:41:02,774 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/README.verification -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 02:41:02,774 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_dly_line.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 02:41:02,774 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 02:41:02,775 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 02:41:02,775 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 02:41:02,775 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_clk_gen_osc.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen 2024-01-19 02:41:02,775 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250 2024-01-19 02:41:02,775 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 02:41:02,776 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_rf_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 02:41:02,776 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 02:41:02,776 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_2r1w.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 02:41:02,776 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1r1w.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 02:41:02,776 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 02:41:02,777 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 02:41:02,777 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 02:41:02,777 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_2r1w_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem 2024-01-19 02:41:02,777 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,777 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_inv.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,778 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_reset.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,778 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_xor.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,778 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_nor3.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,778 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_reset_en.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,778 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_xnor.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,779 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_gate_stack_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,779 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_tielo.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,779 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,779 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 02:41:02,779 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 02:41:02,780 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_and_csa_block_hard.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 02:41:02,780 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 02:41:02,780 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 02:41:02,780 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 02:41:02,780 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 02:41:02,781 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_and_csa_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 02:41:02,781 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/README -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 02:41:02,781 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_place_mul_32_32.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 02:41:02,781 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_comp42_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul 2024-01-19 02:41:02,781 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_nand.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,782 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,782 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_one_hot.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,782 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,782 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,782 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_tiehi.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,783 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,783 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,783 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_and.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,783 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_en.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,783 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_buf.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,783 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_clkbuf.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc 2024-01-19 02:41:02,784 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 02:41:02,784 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 02:41:02,784 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.180.sp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 02:41:02,784 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.250.sp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 02:41:02,784 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.sx -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 02:41:02,785 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/io.sp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 02:41:02,785 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/osc.sp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 02:41:02,785 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice 2024-01-19 02:41:02,785 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/README.verification -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 02:41:02,785 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 02:41:02,786 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 02:41:02,786 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 02:41:02,786 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_clk_gen_osc.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen 2024-01-19 02:41:02,786 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow 2024-01-19 02:41:02,786 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_datapath.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow 2024-01-19 02:41:02,787 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow 2024-01-19 02:41:02,787 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 02:41:02,787 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_nonsynth_clk_watcher.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 02:41:02,787 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_dly_line.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 02:41:02,787 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen.vh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 02:41:02,788 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 02:41:02,788 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_edge_balanced_mux4.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 02:41:02,788 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen_osc.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 02:41:02,788 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_dram_clk_gen.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen 2024-01-19 02:41:02,788 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,789 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_oddr_phy.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,789 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,789 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_upstream.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,789 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,789 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy_phase_align.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,790 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_isdr_phy.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,790 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_downstream.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,790 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_upstream_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,790 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr_downstream.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,790 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_ddr_downstream.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,791 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_ddr_upstream.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,791 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr_upstream.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,791 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_iddr_phy.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link 2024-01-19 02:41:02,791 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports 2024-01-19 02:41:02,791 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports 2024-01-19 02:41:02,792 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,792 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_master.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,792 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,792 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests 2024-01-19 02:41:02,792 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync 2024-01-19 02:41:02,792 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync/test_bsg_source_sync.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync 2024-01-19 02:41:02,793 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync 2024-01-19 02:41:02,793 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler 2024-01-19 02:41:02,793 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler/test_bsg_assembler.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler 2024-01-19 02:41:02,793 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/README -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests 2024-01-19 02:41:02,794 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link 2024-01-19 02:41:02,794 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link/test_bsg_comm_link.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link 2024-01-19 02:41:02,794 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_slave.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,794 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_assembler_out.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,794 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_comm_link.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,795 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_input.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,795 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_master_master.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,795 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_assembler_in.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,795 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/test_bsg_comm_link_checker.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,795 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_comm_link.vh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,796 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_output.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link 2024-01-19 02:41:02,796 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 02:41:02,796 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_source_sync_channel_control_master.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 02:41:02,796 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_comm_link_master_calib_skip_rom.trace.in -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 02:41:02,796 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_source_sync_channel_control_master_master.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 02:41:02,797 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/README -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 02:41:02,797 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_comm_link_master_calib_skip_rom.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip 2024-01-19 02:41:02,797 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib 2024-01-19 02:41:02,797 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib 2024-01-19 02:41:02,797 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv 2024-01-19 02:41:02,798 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti 2024-01-19 02:41:02,798 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti/bsg_vscale_pkg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti 2024-01-19 02:41:02,798 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti/bsg_vscale_hasti_converter.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti 2024-01-19 02:41:02,798 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-01-19 02:41:02,798 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_fsb_to_nasti_slave_connector.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-01-19 02:41:02,798 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_nasti_pkg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-01-19 02:41:02,799 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_fsb_to_nasti_master_connector.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti 2024-01-19 02:41:02,799 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif 2024-01-19 02:41:02,799 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif/bsg_fsb_to_htif_connector.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif 2024-01-19 02:41:02,799 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,799 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_clock_gen.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,800 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,800 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3_map.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,800 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_test_rom.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,800 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_bsg_clock_params.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,800 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3_unmap.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,801 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_bsg_data_gen.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,801 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.hpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,801 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi.hpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,801 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,801 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,802 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_delay_line.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,802 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_cycle_counter.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,802 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_random_gen.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,802 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_gpio.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,802 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_clock_gen_plusarg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,803 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_gpio.hpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,803 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_assembler_defines.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,803 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/libdramsim3.mk -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,803 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,803 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3.vh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,803 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_triwire.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,804 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_ramulator_hbm.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,804 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_ramulator_hbm.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,804 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_rom.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,804 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_val_watcher_1p.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,804 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_ascii_writer.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,805 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_to_fifo.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,805 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_errno.hpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,805 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_trace_replay.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,805 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_fifo.hpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,806 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_rom.hpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,806 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_cycle_counter.hpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,806 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,806 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3_pkg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,806 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_axi_mem.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,806 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_from_fifo.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,807 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_reset_gen.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test 2024-01-19 02:41:02,807 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs 2024-01-19 02:41:02,807 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs 2024-01-19 02:41:02,807 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs/BaseJump_STL_DAC_2018_Camera_Ready.pdf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs 2024-01-19 02:41:02,808 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io 2024-01-19 02:41:02,808 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth 2024-01-19 02:41:02,809 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 02:41:02,809 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/common_setup.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 02:41:02,809 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/bsg_mesosync_link.dc.read_design.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 02:41:02,809 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc_setup_filenames.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 02:41:02,809 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc_setup.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 02:41:02,810 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 02:41:02,810 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/bsg_mesosync_link.constraints.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts 2024-01-19 02:41:02,810 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth 2024-01-19 02:41:02,810 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests 2024-01-19 02:41:02,810 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests 2024-01-19 02:41:02,811 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb_gate_level.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests 2024-01-19 02:41:02,811 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 02:41:02,811 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_output.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 02:41:02,811 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_input.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 02:41:02,811 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_ddr_sampler.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 02:41:02,812 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_core.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 02:41:02,812 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/definitions.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 02:41:02,812 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_link.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 02:41:02,812 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_logic_analyzer.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 02:41:02,812 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/config_defs.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src 2024-01-19 02:41:02,813 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim 2024-01-19 02:41:02,813 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim 2024-01-19 02:41:02,813 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip 2024-01-19 02:41:02,813 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-01-19 02:41:02,813 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_chip_rocket.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-01-19 02:41:02,813 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_rocket_core_fsb.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-01-19 02:41:02,814 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_nonsynth_chipset_rocket_fsb.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-01-19 02:41:02,814 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/README -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket 2024-01-19 02:41:02,814 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_nonsynth_mixin_motherboard.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip 2024-01-19 02:41:02,814 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math 2024-01-19 02:41:02,815 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-01-19 02:41:02,815 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/test_bsg_hypotenuse.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-01-19 02:41:02,815 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/bsg_hypotenuse.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-01-19 02:41:02,815 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-01-19 02:41:02,815 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/README -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-01-19 02:41:02,815 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/sqrt.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-01-19 02:41:02,816 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c 2024-01-19 02:41:02,816 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse 2024-01-19 02:41:02,816 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,816 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_permute_box.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,816 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,817 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_hardened.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,817 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,819 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_shift_decoder.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,820 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_shift_datapath.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,820 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,820 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_in.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,820 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_compare_and_swap.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,821 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flow_counter.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,821 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_bypass.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,821 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flatten_2D_array.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,821 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,821 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_unhardened.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,822 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_full.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,822 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_reorder.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,822 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_wormhole.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,822 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_n_to_1.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,823 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sort_4.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,823 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sort_stable.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,823 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_encode_comb.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,823 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1rw_large.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,823 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_narrowed.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,824 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_credit_on_input.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,824 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_2_to_2.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,824 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_relay_fifo.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,824 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flow_convert.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,825 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out_dynamic.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,825 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_pseudo_large.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,825 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out_passthrough.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,825 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_dynamic.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,825 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_out.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,826 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,826 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_large_banked.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,826 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged_fifo.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,826 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_shift_reg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,826 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged_fifo_shared.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,827 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_narrow.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,827 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_two_buncher.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,827 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sbox.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,827 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_decode_comb.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,827 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_make_2D_array.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,828 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_credit_to_token.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,828 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_large.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,828 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_ready_to_credit_flow_converter.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,828 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_1_to_n.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,828 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,829 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_one_fifo.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,829 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_fifo_to_fifo.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,829 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_passthrough.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,829 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,829 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_two_fifo.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,830 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_tracker.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow 2024-01-19 02:41:02,830 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing 2024-01-19 02:41:02,830 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache 2024-01-19 02:41:02,830 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,830 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,831 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random1.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,831 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,831 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte3.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,831 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_tagfl1.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,831 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random2.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,832 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_mask1.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,832 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_stride1.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,832 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,832 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer1.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,832 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic2.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,832 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer3.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,833 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic1.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,833 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/sweep.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,833 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_alock1.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,833 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_base.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,833 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,834 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte2.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,834 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load2.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,834 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic4.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,834 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic3.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,834 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,835 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_block1.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,835 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_aflinv1.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,835 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer2.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,835 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte1.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2 2024-01-19 02:41:02,835 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 02:41:02,836 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 02:41:02,836 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 02:41:02,836 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 02:41:02,836 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/wave.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 02:41:02,836 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 02:41:02,837 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 02:41:02,837 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/axe_trace_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test 2024-01-19 02:41:02,837 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 02:41:02,837 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 02:41:02,837 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 02:41:02,838 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 02:41:02,838 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 02:41:02,838 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/bsg_test_node_master.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram 2024-01-19 02:41:02,838 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:02,838 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:02,839 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/lru_stats.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:02,839 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:02,839 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:02,839 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock1.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:02,839 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock2.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:02,839 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/sweep.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:02,840 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_base.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:02,840 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:02,840 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiset.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:02,840 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiway.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:02,840 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test 2024-01-19 02:41:02,841 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,841 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,841 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_zorder.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,841 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld3.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,841 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_alock.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,842 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_long_interval.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,842 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_clean_read.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,842 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,842 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,842 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_tl_stage.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,843 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,843 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld2.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,843 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_byte.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,843 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock2.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,843 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_mhu.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,844 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,844 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/bsg_cache_non_blocking_trace_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,844 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_top.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,844 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_afl.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,844 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,845 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_stride.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,845 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_miss_fifo_cov.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,845 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_pe_cover.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,845 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_square.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,845 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/ainv_checker.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,846 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_tag_access.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,846 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,846 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv2.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,846 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_miss_fifo.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,846 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,847 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/tag_checker.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,847 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ld_st.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,847 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_base.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,847 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,847 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,847 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_burst.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,848 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_tagfl.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,848 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_linear.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,848 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/basic_checker.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,849 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/block_ld_checker.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,849 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/exclusion.el -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,849 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ainv.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,849 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,849 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_flush.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking 2024-01-19 02:41:02,850 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 02:41:02,850 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 02:41:02,850 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way2.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 02:41:02,850 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way8.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 02:41:02,850 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 02:41:02,851 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 02:41:02,851 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way4.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 02:41:02,851 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 02:41:02,851 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression 2024-01-19 02:41:02,851 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,852 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,852 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random1.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,852 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/width_sweep.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,852 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride2.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,852 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random2.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,853 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,853 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_mask1.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,853 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride1.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,853 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random3.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,853 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,854 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic2.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,854 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic1.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,854 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_base.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,854 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,854 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/basic_checker.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,855 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic4.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,855 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic3.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,855 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64 2024-01-19 02:41:02,855 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 02:41:02,855 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 02:41:02,856 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/bsg_trace_rom.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 02:41:02,856 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/bsg_test_master.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 02:41:02,856 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 02:41:02,856 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/wave.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 02:41:02,856 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/dmc_trace_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 02:41:02,857 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 02:41:02,857 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc 2024-01-19 02:41:02,857 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 02:41:02,857 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_dma_model.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 02:41:02,857 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_cache_trace_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 02:41:02,858 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 02:41:02,858 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_non_blocking_dma_model.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 02:41:02,858 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/basic_checker_32.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 02:41:02,858 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_random_yumi_gen.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common 2024-01-19 02:41:02,858 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc 2024-01-19 02:41:02,859 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier 2024-01-19 02:41:02,859 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier/test.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier 2024-01-19 02:41:02,859 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch 2024-01-19 02:41:02,859 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch/test_mesh_to_ring_stitch.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch 2024-01-19 02:41:02,859 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router 2024-01-19 02:41:02,859 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router 2024-01-19 02:41:02,860 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 02:41:02,860 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 02:41:02,860 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 02:41:02,860 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test_tile.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 02:41:02,860 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test_pkg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 02:41:02,861 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 02:41:02,861 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 02:41:02,861 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all 2024-01-19 02:41:02,861 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router 2024-01-19 02:41:02,861 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 02:41:02,862 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/filelist -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 02:41:02,862 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_tester.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 02:41:02,862 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_test_node_client.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 02:41:02,862 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_test_node_master.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 02:41:02,862 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/README -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 02:41:02,863 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network 2024-01-19 02:41:02,863 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-01-19 02:41:02,863 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-01-19 02:41:02,863 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/bsg_trace_rom.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-01-19 02:41:02,863 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-01-19 02:41:02,864 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in 2024-01-19 02:41:02,864 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-01-19 02:41:02,864 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-01-19 02:41:02,864 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/bsg_trace_rom.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-01-19 02:41:02,864 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-01-19 02:41:02,865 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out 2024-01-19 02:41:02,865 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 02:41:02,865 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/bsg_wormhole_router_tester.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 02:41:02,865 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/filelist -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 02:41:02,865 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/bsg_wormhole_router_test_node.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 02:41:02,866 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/README -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 02:41:02,866 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router 2024-01-19 02:41:02,866 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 02:41:02,866 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/filelist -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 02:41:02,866 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/bsg_wormhole_concentrator_test_node.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 02:41:02,867 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/bsg_wormhole_concentrator_tester.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 02:41:02,867 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/README -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 02:41:02,867 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator 2024-01-19 02:41:02,867 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag 2024-01-19 02:41:02,867 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag 2024-01-19 02:41:02,868 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag 2024-01-19 02:41:02,868 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu 2024-01-19 02:41:02,868 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-01-19 02:41:02,868 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-01-19 02:41:02,868 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-01-19 02:41:02,868 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky 2024-01-19 02:41:02,869 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu 2024-01-19 02:41:02,869 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 02:41:02,869 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 02:41:02,869 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/i2f_32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 02:41:02,869 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/synth_sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 02:41:02,870 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/rtl_sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 02:41:02,870 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32 2024-01-19 02:41:02,870 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 02:41:02,870 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 02:41:02,870 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/synth_sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 02:41:02,871 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/cmp_32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 02:41:02,871 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/rtl_sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 02:41:02,871 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32 2024-01-19 02:41:02,871 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 02:41:02,871 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 02:41:02,871 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/synth_sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 02:41:02,872 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/mul_32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 02:41:02,872 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/rtl_sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 02:41:02,872 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32 2024-01-19 02:41:02,872 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 02:41:02,872 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 02:41:02,873 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/synth_sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 02:41:02,873 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/add_sub_32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 02:41:02,873 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/rtl_sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 02:41:02,873 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32 2024-01-19 02:41:02,873 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 02:41:02,874 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 02:41:02,874 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/synth_sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 02:41:02,874 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/rtl_sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 02:41:02,874 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/f2i_32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 02:41:02,874 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32 2024-01-19 02:41:02,875 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common 2024-01-19 02:41:02,875 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common/fpu_common.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common 2024-01-19 02:41:02,875 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common/fpu_common.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common 2024-01-19 02:41:02,875 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing 2024-01-19 02:41:02,875 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem 2024-01-19 02:41:02,876 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync 2024-01-19 02:41:02,876 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync 2024-01-19 02:41:02,876 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync 2024-01-19 02:41:02,876 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar 2024-01-19 02:41:02,876 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar 2024-01-19 02:41:02,876 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar 2024-01-19 02:41:02,877 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-01-19 02:41:02,877 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-01-19 02:41:02,877 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-01-19 02:41:02,877 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-01-19 02:41:02,877 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged 2024-01-19 02:41:02,878 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-01-19 02:41:02,878 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-01-19 02:41:02,878 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-01-19 02:41:02,878 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/tracegen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-01-19 02:41:02,878 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma 2024-01-19 02:41:02,879 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-01-19 02:41:02,879 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-01-19 02:41:02,879 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-01-19 02:41:02,879 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-01-19 02:41:02,879 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync 2024-01-19 02:41:02,880 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w 2024-01-19 02:41:02,880 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w 2024-01-19 02:41:02,880 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w 2024-01-19 02:41:02,880 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w 2024-01-19 02:41:02,880 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w 2024-01-19 02:41:02,881 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w 2024-01-19 02:41:02,881 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-01-19 02:41:02,881 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-01-19 02:41:02,881 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-01-19 02:41:02,881 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test 2024-01-19 02:41:02,882 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc 2024-01-19 02:41:02,882 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb 2024-01-19 02:41:02,882 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb 2024-01-19 02:41:02,882 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb 2024-01-19 02:41:02,882 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot 2024-01-19 02:41:02,882 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot 2024-01-19 02:41:02,883 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-01-19 02:41:02,883 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-01-19 02:41:02,883 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/filelist.f -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-01-19 02:41:02,883 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative 2024-01-19 02:41:02,883 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter 2024-01-19 02:41:02,884 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter 2024-01-19 02:41:02,884 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter 2024-01-19 02:41:02,884 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit 2024-01-19 02:41:02,884 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit 2024-01-19 02:41:02,884 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit 2024-01-19 02:41:02,885 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray 2024-01-19 02:41:02,885 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray 2024-01-19 02:41:02,885 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray 2024-01-19 02:41:02,885 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-01-19 02:41:02,885 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-01-19 02:41:02,885 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-01-19 02:41:02,886 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode 2024-01-19 02:41:02,886 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-01-19 02:41:02,886 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-01-19 02:41:02,886 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-01-19 02:41:02,886 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup 2024-01-19 02:41:02,887 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan 2024-01-19 02:41:02,887 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan 2024-01-19 02:41:02,887 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan 2024-01-19 02:41:02,887 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample 2024-01-19 02:41:02,887 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample 2024-01-19 02:41:02,888 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample 2024-01-19 02:41:02,888 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset 2024-01-19 02:41:02,888 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset 2024-01-19 02:41:02,888 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset 2024-01-19 02:41:02,888 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left 2024-01-19 02:41:02,888 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left/main.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left 2024-01-19 02:41:02,889 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul 2024-01-19 02:41:02,889 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul 2024-01-19 02:41:02,889 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul 2024-01-19 02:41:02,889 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin 2024-01-19 02:41:02,889 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin/test.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin 2024-01-19 02:41:02,890 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-01-19 02:41:02,890 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-01-19 02:41:02,890 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/another_test.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-01-19 02:41:02,890 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot 2024-01-19 02:41:02,890 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount 2024-01-19 02:41:02,891 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount 2024-01-19 02:41:02,891 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount 2024-01-19 02:41:02,891 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count 2024-01-19 02:41:02,891 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count 2024-01-19 02:41:02,891 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count 2024-01-19 02:41:02,892 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-01-19 02:41:02,892 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-01-19 02:41:02,892 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-01-19 02:41:02,892 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode 2024-01-19 02:41:02,892 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb 2024-01-19 02:41:02,892 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb 2024-01-19 02:41:02,893 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb 2024-01-19 02:41:02,893 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree 2024-01-19 02:41:02,893 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree/test.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree 2024-01-19 02:41:02,893 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary 2024-01-19 02:41:02,893 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary 2024-01-19 02:41:02,894 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary 2024-01-19 02:41:02,894 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,894 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,894 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/s_expected.txt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,894 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/signed.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,895 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide.files -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,895 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_4.stim -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,895 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/u_expected.txt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,895 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/unsigned.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,895 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/random_stim.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,895 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/mypli.tab -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,896 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_64.stim -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,896 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_32.stim -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,896 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/get_stim.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,896 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative 2024-01-19 02:41:02,896 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles 2024-01-19 02:41:02,897 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles 2024-01-19 02:41:02,897 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles 2024-01-19 02:41:02,897 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down 2024-01-19 02:41:02,897 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down 2024-01-19 02:41:02,897 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down 2024-01-19 02:41:02,898 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff 2024-01-19 02:41:02,898 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff 2024-01-19 02:41:02,898 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff 2024-01-19 02:41:02,898 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/test_bsg.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc 2024-01-19 02:41:02,898 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot 2024-01-19 02:41:02,899 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot 2024-01-19 02:41:02,899 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot 2024-01-19 02:41:02,899 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-01-19 02:41:02,899 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-01-19 02:41:02,899 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-01-19 02:41:02,899 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode 2024-01-19 02:41:02,900 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe 2024-01-19 02:41:02,900 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe 2024-01-19 02:41:02,900 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe 2024-01-19 02:41:02,900 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset 2024-01-19 02:41:02,900 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset 2024-01-19 02:41:02,901 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset 2024-01-19 02:41:02,901 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise 2024-01-19 02:41:02,901 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise 2024-01-19 02:41:02,901 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise 2024-01-19 02:41:02,901 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-01-19 02:41:02,902 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/tb.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-01-19 02:41:02,902 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/verilator_top.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-01-19 02:41:02,902 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank 2024-01-19 02:41:02,902 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux 2024-01-19 02:41:02,902 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux 2024-01-19 02:41:02,902 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux 2024-01-19 02:41:02,903 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-01-19 02:41:02,903 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-01-19 02:41:02,903 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-01-19 02:41:02,903 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/bsg_nonsynth_clk_gen_tester.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-01-19 02:41:02,903 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen 2024-01-19 02:41:02,904 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 02:41:02,904 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_test_node.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 02:41:02,904 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/filelist -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 02:41:02,904 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_downstream_encode.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 02:41:02,905 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-01-19 02:41:02,905 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/bsg_link_sdr_tester.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-01-19 02:41:02,905 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/filelist -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-01-19 02:41:02,905 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/bsg_link_sdr_test_node.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-01-19 02:41:02,905 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr 2024-01-19 02:41:02,905 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/README -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 02:41:02,906 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_tester.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 02:41:02,906 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link 2024-01-19 02:41:02,906 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test 2024-01-19 02:41:02,906 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:02,906 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:02,907 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/vcache_blocking.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:02,907 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/cache_miss_counter.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:02,907 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/trace_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:02,907 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:02,907 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_read.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:02,908 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/const_random.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:02,908 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_write.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:02,908 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/miss_latency.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:02,908 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:02,908 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/full_random.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2 2024-01-19 02:41:02,909 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen 2024-01-19 02:41:02,909 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen 2024-01-19 02:41:02,909 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen 2024-01-19 02:41:02,909 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 02:41:02,909 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 02:41:02,910 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/latency.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 02:41:02,910 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/hbm_trace_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 02:41:02,910 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 02:41:02,910 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/testbench_multi.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 02:41:02,910 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 02:41:02,911 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3 2024-01-19 02:41:02,911 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth 2024-01-19 02:41:02,911 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth 2024-01-19 02:41:02,911 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 02:41:02,911 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 02:41:02,911 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/latency.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 02:41:02,912 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/hbm_trace_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 02:41:02,912 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 02:41:02,912 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 02:41:02,912 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm 2024-01-19 02:41:02,913 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 02:41:02,913 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/response.trace.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 02:41:02,913 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/test_bench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 02:41:02,913 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/stimulus.trace.in -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 02:41:02,913 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/dut.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 02:41:02,913 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay 2024-01-19 02:41:02,914 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-01-19 02:41:02,914 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/top.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-01-19 02:41:02,914 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-01-19 02:41:02,914 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/main.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom 2024-01-19 02:41:02,914 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 02:41:02,915 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 02:41:02,915 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/test_reorder.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 02:41:02,915 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/random_full.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 02:41:02,915 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 02:41:02,915 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/trace_gen_base.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 02:41:02,916 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 02:41:02,916 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/constrained_random.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 02:41:02,916 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load_conflict.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 02:41:02,916 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 02:41:02,916 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth 2024-01-19 02:41:02,917 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-01-19 02:41:02,917 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/top.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-01-19 02:41:02,917 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-01-19 02:41:02,917 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/main.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi 2024-01-19 02:41:02,917 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow 2024-01-19 02:41:02,918 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel 2024-01-19 02:41:02,918 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel 2024-01-19 02:41:02,918 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel 2024-01-19 02:41:02,918 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large 2024-01-19 02:41:02,918 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large/test_bsg_fifo_1r1w_large.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large 2024-01-19 02:41:02,918 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large 2024-01-19 02:41:02,919 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 02:41:02,919 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/bsg_parallel_in_serial_out_test_node.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 02:41:02,919 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/bsg_parallel_in_serial_out_tester.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 02:41:02,919 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/filelist -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 02:41:02,919 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/README -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 02:41:02,920 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out 2024-01-19 02:41:02,920 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 02:41:02,920 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/bsg_fifo_1r1w_small_hardened_tester.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 02:41:02,920 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/bsg_fifo_1r1w_small_hardened_test_node.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 02:41:02,920 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/filelist -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 02:41:02,921 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/README -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 02:41:02,921 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened 2024-01-19 02:41:02,921 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap 2024-01-19 02:41:02,921 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap 2024-01-19 02:41:02,921 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap 2024-01-19 02:41:02,922 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-01-19 02:41:02,922 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-01-19 02:41:02,922 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-01-19 02:41:02,922 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-01-19 02:41:02,922 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough 2024-01-19 02:41:02,923 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-01-19 02:41:02,923 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-01-19 02:41:02,923 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-01-19 02:41:02,923 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-01-19 02:41:02,923 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb 2024-01-19 02:41:02,924 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-01-19 02:41:02,924 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-01-19 02:41:02,924 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-01-19 02:41:02,924 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass 2024-01-19 02:41:02,924 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-01-19 02:41:02,924 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/test_bsg_fifo_1r1w_pseudo_large.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-01-19 02:41:02,925 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/bsg_test.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-01-19 02:41:02,925 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large 2024-01-19 02:41:02,925 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow 2024-01-19 02:41:02,925 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow/test_bsg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow 2024-01-19 02:41:02,925 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow 2024-01-19 02:41:02,926 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-01-19 02:41:02,926 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-01-19 02:41:02,926 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-01-19 02:41:02,926 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-01-19 02:41:02,926 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough 2024-01-19 02:41:02,927 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-01-19 02:41:02,927 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-01-19 02:41:02,927 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/remote_node.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-01-19 02:41:02,927 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/sv.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-01-19 02:41:02,927 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder 2024-01-19 02:41:02,928 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-01-19 02:41:02,928 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-01-19 02:41:02,928 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/filelist.lst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-01-19 02:41:02,928 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,928 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/mobile_ddr_mcp.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,929 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/2048Mb_mobile_ddr_parameters.vh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,929 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/tb.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,929 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/readme.txt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,929 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/128Mb_mobile_ddr_parameters.vh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,929 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/1024Mb_mobile_ddr_parameters.vh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,930 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/256Mb_mobile_ddr_parameters.vh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,930 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/512Mb_mobile_ddr_parameters.vh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,930 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/tb.do -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,930 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/subtest.vh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,931 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/mobile_ddr.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model 2024-01-19 02:41:02,931 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-01-19 02:41:02,931 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/tasks.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc 2024-01-19 02:41:02,931 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/Makefile.sim -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing 2024-01-19 02:41:02,931 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 02:41:02,932 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_pkg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 02:41:02,932 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_controller.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 02:41:02,932 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 02:41:02,932 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_phy.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 02:41:02,932 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_clk_rst_gen.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 02:41:02,933 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc 2024-01-19 02:41:02,933 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-01-19 02:41:02,933 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test 2024-01-19 02:41:02,933 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,933 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/finish_fail-IcarusVerilog.vi -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,934 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToRecFN_spec.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,934 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_addRecFN_add.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,934 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/mulRecFN_spec.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,934 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/sameRecFN.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,934 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN_add.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,935 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_divSqrtRecFN_small_div.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,935 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_divSqrtRecFN_small_sqrt.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,935 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,935 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToUi32.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,935 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF64.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,936 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF128.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,936 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToI64.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,936 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF64_small_div.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,936 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToUi64.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,936 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF16_small_div.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,937 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToI64.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,937 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF32.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,937 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToUi64.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,937 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF16.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,937 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF64.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,938 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF32.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,938 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToF128.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,938 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF32.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,938 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF128_small_div.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,938 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF128.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,939 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f128ToRecF128.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,939 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF64.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,939 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF128.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,939 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF128.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,939 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF16.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,940 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF128.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,940 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToUi32.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,940 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF16.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,940 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToUi32.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,940 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF32.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,941 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToUi64.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,941 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToI32.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,941 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToUi64.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,941 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f64ToRecF64.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,941 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF128.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,942 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF64.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,942 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF64.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,942 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToI32.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,942 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF16_small_sqrt.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,942 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF64.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,943 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF16.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,943 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToI32.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,943 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToF64.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,943 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF64.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,943 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF16.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,944 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF64.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,944 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToI64.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,944 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF16.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,944 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF32.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,944 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF64.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,945 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF32_small_sqrt.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,945 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF64_small_sqrt.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,945 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToI64.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,945 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF128.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,946 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF32.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,946 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF32.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,946 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF64.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,946 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/testCommon.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,946 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f16ToRecF16.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,947 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToI32.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,947 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF16.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,947 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF128.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,947 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToF32.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,947 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF32.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,948 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToUi32.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,948 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF128.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,948 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF32.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,948 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF32.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,948 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF16.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,949 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToF16.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,949 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f32ToRecF32.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,949 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF128.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,949 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF16.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,949 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF128_small_sqrt.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,950 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF16.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,950 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/testCommon.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,950 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF32_small_div.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator 2024-01-19 02:41:02,950 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/fNToRecFN_spec.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,950 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/addRecFN_spec.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,951 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulRecFN.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,951 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToRecFN.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,951 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_compareRecFN.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,951 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/iNToRecFN_spec.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,951 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/mulAddRecFN_spec.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,952 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/divSqrtRecFN_small_spec.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,952 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_addRecFN_sub.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,952 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_iNToRecFN.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,952 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToFN_spec.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,952 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToIN.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,953 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToIN_spec.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,953 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN_mul.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,953 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,953 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToFN.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,954 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/compareRecFN_spec.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source 2024-01-19 02:41:02,954 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-01-19 02:41:02,954 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-01-19 02:41:02,954 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-test-Verilog.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-01-19 02:41:02,954 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-Verilog.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-01-19 02:41:02,955 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-test-Verilator.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-01-19 02:41:02,955 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/fma.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc 2024-01-19 02:41:02,955 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/COPYING.txt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-01-19 02:41:02,955 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-01-19 02:41:02,955 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.txt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat 2024-01-19 02:41:02,956 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,956 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToRecFN.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,956 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/addRecFN.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,956 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/mulRecFN.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,957 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 2024-01-19 02:41:02,957 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2/HardFloat_specialize.vi -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 2024-01-19 02:41:02,957 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2/HardFloat_specialize.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2 2024-01-19 02:41:02,957 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/compareRecFN.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,957 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/mulAddRecFN.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,958 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/fNToRecFN.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,958 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_consts.vi -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,958 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE 2024-01-19 02:41:02,958 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE/HardFloat_specialize.vi -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE 2024-01-19 02:41:02,958 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE/HardFloat_specialize.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE 2024-01-19 02:41:02,958 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/bsg_hardfloat_pkg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,959 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToFN.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,959 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV 2024-01-19 02:41:02,959 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV/HardFloat_specialize.vi -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV 2024-01-19 02:41:02,959 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV/HardFloat_specialize.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV 2024-01-19 02:41:02,959 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/isSigNaNRecFN.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,960 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_primitives.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,960 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_localFuncs.vi -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,960 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_rawFN.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,960 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/divSqrtRecFN_small.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,960 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToIN.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,961 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/iNToRecFN.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source 2024-01-19 02:41:02,961 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/tools 2024-01-19 02:41:02,961 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/tools/Makefile.common -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/tools 2024-01-19 02:41:02,961 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile.common -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 02:41:02,961 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be 2024-01-19 02:41:02,962 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-01-19 02:41:02,962 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/coverage_hier.verilator -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-01-19 02:41:02,962 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/flist.vcs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-01-19 02:41:02,962 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/coverage_hier.vcs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-01-19 02:41:02,962 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn 2024-01-19 02:41:02,963 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test 2024-01-19 02:41:02,963 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb 2024-01-19 02:41:02,963 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:02,963 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.vcs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:02,963 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.verilator -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:02,963 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.testlist -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:02,964 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:02,964 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/test_bp.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:02,964 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.dc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:02,964 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.cfgs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:02,964 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/wrapper.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:02,965 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/flist.vcs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:02,965 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.params -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:02,965 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_script.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:02,965 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/testbench.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache 2024-01-19 02:41:02,965 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be 2024-01-19 02:41:02,966 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src 2024-01-19 02:41:02,966 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 02:41:02,966 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_defines.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 02:41:02,966 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 02:41:02,966 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_dcache_pkgdef.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 02:41:02,967 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_ctl_pkgdef.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 02:41:02,967 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_dcache_defines.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include 2024-01-19 02:41:02,967 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v 2024-01-19 02:41:02,967 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 02:41:02,967 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_instr_decoder.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 02:41:02,968 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_scheduler.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 02:41:02,968 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_cmd_queue.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 02:41:02,968 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_issue_queue.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 02:41:02,968 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_regfile.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 02:41:02,968 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_scoreboard.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 02:41:02,969 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_detector.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 02:41:02,969 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_director.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker 2024-01-19 02:41:02,969 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_top.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v 2024-01-19 02:41:02,969 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,969 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_csr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,970 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_fp_to_rec.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,970 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_int.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,970 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_ptw.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,970 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_ctl.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,970 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_long.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,971 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_mem.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,971 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_rec_to_fp.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,971 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_calculator_top.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,971 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_fma.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,971 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_sys.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,972 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_aux.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator 2024-01-19 02:41:02,972 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-01-19 02:41:02,972 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-01-19 02:41:02,972 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_decoder.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-01-19 02:41:02,972 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_wbuf.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache 2024-01-19 02:41:02,973 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,973 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_cfgbus.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,974 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_bug.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,975 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_guide.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,975 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_rf.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,975 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/backend_guide.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,976 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/interface_specification.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,976 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/eval_guide.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,976 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/testbench_guide.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,976 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_info.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,977 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/core_micro.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,978 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bp_logo.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,978 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/cce_micro.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,978 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_uarch_guide.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,979 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_freeze.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,979 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_dma.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,980 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_cache.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,980 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_io.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,981 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_microcode_table.pdf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,981 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/tile_taxonomy.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,983 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/microarchitecture_guide.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,983 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_feature.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,983 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,984 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/style_guide.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,984 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/platform_guide.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,984 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_boot.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot/docs 2024-01-19 02:41:02,984 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile.tools -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 02:41:02,984 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/black-parrot 2024-01-19 02:41:02,985 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-01-19 02:41:02,985 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga 2024-01-19 02:41:02,985 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga/ExampleBlackParrotSystem.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga 2024-01-19 02:41:02,985 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/cce_ucode.mem -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-01-19 02:41:02,985 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/flist.fpga -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-01-19 02:41:02,986 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/bp2wb_convertor.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-01-19 02:41:02,986 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation 2024-01-19 02:41:02,986 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation/ExampleBlackParrotSystem.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation 2024-01-19 02:41:02,986 root INFO copying build/lib/pythondata_cpu_blackparrot/system_verilog/bp_litex/flist.verilator -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot/system_verilog/bp_litex 2024-01-19 02:41:02,987 root INFO copying build/lib/pythondata_cpu_blackparrot/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot 2024-01-19 02:41:02,987 root INFO running install_egg_info 2024-01-19 02:41:02,991 root INFO Copying pythondata_cpu_blackparrot.egg-info to build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot-0.0.post1817-py3.11.egg-info 2024-01-19 02:41:02,992 root INFO running install_scripts 2024-01-19 02:41:02,994 wheel INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_blackparrot-0.0.post1817.dist-info/WHEEL 2024-01-19 02:41:02,994 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/pythondata-cpu-blackparrot-2023.12/dist/.tmp-0cu4nzme/pythondata_cpu_blackparrot-0.0.post1817-py3-none-any.whl' and adding 'build/bdist.linux-x86_64/wheel' to it 2024-01-19 02:41:02,995 wheel INFO adding 'pythondata_cpu_blackparrot/__init__.py' 2024-01-19 02:41:02,995 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/.gitignore' 2024-01-19 02:41:02,995 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/.gitlab-ci.yml' 2024-01-19 02:41:02,995 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/CONTRIBUTING.md' 2024-01-19 02:41:02,996 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/LICENSE' 2024-01-19 02:41:02,996 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile' 2024-01-19 02:41:02,996 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile.common' 2024-01-19 02:41:02,996 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/Makefile.tools' 2024-01-19 02:41:02,997 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/README.md' 2024-01-19 02:41:02,997 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/PULL_REQUEST_TEMPLATE.md' 2024-01-19 02:41:02,997 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/bug_report.md' 2024-01-19 02:41:02,998 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/config.yml' 2024-01-19 02:41:02,998 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/feature_request.md' 2024-01-19 02:41:02,998 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/.github/ISSUE_TEMPLATE/informational.md' 2024-01-19 02:41:02,998 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/README.md' 2024-01-19 02:41:02,999 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_ctl_pkgdef.svh' 2024-01-19 02:41:02,999 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_dcache_defines.svh' 2024-01-19 02:41:02,999 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_dcache_pkgdef.svh' 2024-01-19 02:41:02,999 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_defines.svh' 2024-01-19 02:41:03,000 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/include/bp_be_pkg.sv' 2024-01-19 02:41:03,000 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_top.sv' 2024-01-19 02:41:03,000 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_calculator_top.sv' 2024-01-19 02:41:03,001 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_csr.sv' 2024-01-19 02:41:03,001 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_fp_to_rec.sv' 2024-01-19 02:41:03,001 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_aux.sv' 2024-01-19 02:41:03,002 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_ctl.sv' 2024-01-19 02:41:03,002 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_fma.sv' 2024-01-19 02:41:03,002 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_int.sv' 2024-01-19 02:41:03,002 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_long.sv' 2024-01-19 02:41:03,003 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_mem.sv' 2024-01-19 02:41:03,003 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_pipe_sys.sv' 2024-01-19 02:41:03,003 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_ptw.sv' 2024-01-19 02:41:03,003 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_calculator/bp_be_rec_to_fp.sv' 2024-01-19 02:41:03,004 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_cmd_queue.sv' 2024-01-19 02:41:03,004 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_detector.sv' 2024-01-19 02:41:03,004 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_director.sv' 2024-01-19 02:41:03,005 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_instr_decoder.sv' 2024-01-19 02:41:03,005 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_issue_queue.sv' 2024-01-19 02:41:03,005 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_regfile.sv' 2024-01-19 02:41:03,005 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_scheduler.sv' 2024-01-19 02:41:03,006 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_checker/bp_be_scoreboard.sv' 2024-01-19 02:41:03,006 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache.sv' 2024-01-19 02:41:03,007 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_decoder.sv' 2024-01-19 02:41:03,007 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/src/v/bp_be_dcache/bp_be_dcache_wbuf.sv' 2024-01-19 02:41:03,007 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/Makefile' 2024-01-19 02:41:03,007 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/coverage_hier.vcs' 2024-01-19 02:41:03,007 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/coverage_hier.verilator' 2024-01-19 02:41:03,008 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/syn/flist.vcs' 2024-01-19 02:41:03,008 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.cfgs' 2024-01-19 02:41:03,008 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.dc' 2024-01-19 02:41:03,008 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.params' 2024-01-19 02:41:03,009 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.testlist' 2024-01-19 02:41:03,009 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.vcs' 2024-01-19 02:41:03,009 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/Makefile.verilator' 2024-01-19 02:41:03,009 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/flist.vcs' 2024-01-19 02:41:03,010 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/test_bp.cpp' 2024-01-19 02:41:03,010 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/testbench.sv' 2024-01-19 02:41:03,010 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_gen.py' 2024-01-19 02:41:03,010 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/trace_script.py' 2024-01-19 02:41:03,011 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/wrapper.sv' 2024-01-19 02:41:03,011 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/blood_graph.py' 2024-01-19 02:41:03,011 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/nbf.py' 2024-01-19 02:41:03,012 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/pc_histogram.py' 2024-01-19 02:41:03,012 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_addr_defines.svh' 2024-01-19 02:41:03,012 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_addr_pkgdef.svh' 2024-01-19 02:41:03,013 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_aviary_defines.svh' 2024-01-19 02:41:03,013 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_aviary_pkgdef.svh' 2024-01-19 02:41:03,013 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_bedrock_if.svh' 2024-01-19 02:41:03,014 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_bedrock_pkgdef.svh' 2024-01-19 02:41:03,014 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_engine_if.svh' 2024-01-19 02:41:03,014 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_engine_pkgdef.svh' 2024-01-19 02:41:03,014 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cache_pkgdef.svh' 2024-01-19 02:41:03,015 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cfg_bus_defines.svh' 2024-01-19 02:41:03,015 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_cfg_bus_pkgdef.svh' 2024-01-19 02:41:03,015 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_clint_pkgdef.svh' 2024-01-19 02:41:03,015 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_core_if.svh' 2024-01-19 02:41:03,016 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_core_pkgdef.svh' 2024-01-19 02:41:03,016 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_defines.svh' 2024-01-19 02:41:03,016 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_host_pkgdef.svh' 2024-01-19 02:41:03,016 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_log_defines.svh' 2024-01-19 02:41:03,016 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_pkg.sv' 2024-01-19 02:41:03,017 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_csr_defines.svh' 2024-01-19 02:41:03,017 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_instr_defines.svh' 2024-01-19 02:41:03,018 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/include/bp_common_rv64_pkgdef.svh' 2024-01-19 02:41:03,018 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_mmu.sv' 2024-01-19 02:41:03,018 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_pma.sv' 2024-01-19 02:41:03,019 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bp_tlb.sv' 2024-01-19 02:41:03,019 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_async_noc_link.sv' 2024-01-19 02:41:03,019 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_bus_pack.sv' 2024-01-19 02:41:03,019 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_cache_dma_to_wormhole.v' 2024-01-19 02:41:03,020 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_dff_reset_half.v' 2024-01-19 02:41:03,020 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_fifo_1r1w_rolly.sv' 2024-01-19 02:41:03,020 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_parallel_in_serial_out_passthrough_dynamic.v' 2024-01-19 02:41:03,020 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_rom_param.v' 2024-01-19 02:41:03,020 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_serial_in_parallel_out_passthrough_dynamic.v' 2024-01-19 02:41:03,021 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_control.v' 2024-01-19 02:41:03,021 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_in.v' 2024-01-19 02:41:03,021 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_stream_out.v' 2024-01-19 02:41:03,021 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/src/v/bsg_wormhole_to_cache_dma_fanout.v' 2024-01-19 02:41:03,022 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.common' 2024-01-19 02:41:03,022 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.dc' 2024-01-19 02:41:03,022 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.surelog' 2024-01-19 02:41:03,022 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.sv2v' 2024-01-19 02:41:03,023 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.vcs' 2024-01-19 02:41:03,023 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.verilator' 2024-01-19 02:41:03,023 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/Makefile.vivado' 2024-01-19 02:41:03,023 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/flist.vcs' 2024-01-19 02:41:03,024 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl/dc_elab.tcl' 2024-01-19 02:41:03,024 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/tcl/vivado_synth.tcl' 2024-01-19 02:41:03,024 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1r1w_sync.v' 2024-01-19 02:41:03,024 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync.v' 2024-01-19 02:41:03,025 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync_mask_write_bit.v' 2024-01-19 02:41:03,025 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_1rw_sync_mask_write_byte.v' 2024-01-19 02:41:03,025 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_2r1w_sync.v' 2024-01-19 02:41:03,025 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/syn/v/bsg_mem_3r1w_sync.v' 2024-01-19 02:41:03,026 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/README.md' 2024-01-19 02:41:03,027 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_icache.svg' 2024-01-19 02:41:03,030 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_pcgen.svg' 2024-01-19 02:41:03,032 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/schm/BlackParrot_FE_top.svg' 2024-01-19 02:41:03,033 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_decompress.svh' 2024-01-19 02:41:03,033 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_defines.svh' 2024-01-19 02:41:03,033 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_icache_defines.svh' 2024-01-19 02:41:03,034 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_icache_pkgdef.svh' 2024-01-19 02:41:03,034 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/include/bp_fe_pkg.sv' 2024-01-19 02:41:03,034 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_bht.sv' 2024-01-19 02:41:03,034 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_btb.sv' 2024-01-19 02:41:03,035 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_icache.sv' 2024-01-19 02:41:03,035 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_instr_scan.sv' 2024-01-19 02:41:03,035 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_pc_gen.sv' 2024-01-19 02:41:03,035 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/src/v/bp_fe_top.sv' 2024-01-19 02:41:03,036 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/Makefile' 2024-01-19 02:41:03,036 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/coverage_hier.vcs' 2024-01-19 02:41:03,036 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/coverage_hier.verilator' 2024-01-19 02:41:03,036 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/flist.vcs' 2024-01-19 02:41:03,037 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/syn/test.lst' 2024-01-19 02:41:03,037 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.cfgs' 2024-01-19 02:41:03,037 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.dc' 2024-01-19 02:41:03,037 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.frag' 2024-01-19 02:41:03,037 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.params' 2024-01-19 02:41:03,038 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.testlist' 2024-01-19 02:41:03,038 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.vcs' 2024-01-19 02:41:03,038 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/Makefile.verilator' 2024-01-19 02:41:03,038 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/flist.vcs' 2024-01-19 02:41:03,039 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/test_bp.cpp' 2024-01-19 02:41:03,039 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/testbench.sv' 2024-01-19 02:41:03,039 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_gen.py' 2024-01-19 02:41:03,039 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/trace_script.py' 2024-01-19 02:41:03,039 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/wrapper.sv' 2024-01-19 02:41:03,040 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/README.md' 2024-01-19 02:41:03,040 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/axe_trace_filter.py' 2024-01-19 02:41:03,040 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/c_array_gen.py' 2024-01-19 02:41:03,040 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/checker.py' 2024-01-19 02:41:03,041 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/npa_addr_gen.py' 2024-01-19 02:41:03,041 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/trace_gen.py' 2024-01-19 02:41:03,041 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/build.sh' 2024-01-19 02:41:03,041 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/scripts/run.sh' 2024-01-19 02:41:03,042 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_axi_pkgdef.sv' 2024-01-19 02:41:03,042 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cache_defines.svh' 2024-01-19 02:41:03,042 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_defines.svh' 2024-01-19 02:41:03,042 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_inst_defines.svh' 2024-01-19 02:41:03,043 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_cce_inst_pkgdef.svh' 2024-01-19 02:41:03,043 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_defines.svh' 2024-01-19 02:41:03,043 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_pkg.sv' 2024-01-19 02:41:03,043 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/include/bp_me_wormhole_defines.svh' 2024-01-19 02:41:03,044 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cache/bp_me_cce_to_cache.sv' 2024-01-19 02:41:03,044 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce.sv' 2024-01-19 02:41:03,044 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_alu.sv' 2024-01-19 02:41:03,045 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_arbitrate.sv' 2024-01-19 02:41:03,045 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_branch.sv' 2024-01-19 02:41:03,045 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir.sv' 2024-01-19 02:41:03,045 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_lru_extract.sv' 2024-01-19 02:41:03,046 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_segment.sv' 2024-01-19 02:41:03,046 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_dir_tag_checker.sv' 2024-01-19 02:41:03,046 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_fsm.sv' 2024-01-19 02:41:03,047 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_fsm_top.sv' 2024-01-19 02:41:03,047 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_gad.sv' 2024-01-19 02:41:03,047 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_decode.sv' 2024-01-19 02:41:03,048 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_predecode.sv' 2024-01-19 02:41:03,048 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_ram.sv' 2024-01-19 02:41:03,048 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_inst_stall.sv' 2024-01-19 02:41:03,049 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_msg.sv' 2024-01-19 02:41:03,049 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_pending_bits.sv' 2024-01-19 02:41:03,049 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_pma.sv' 2024-01-19 02:41:03,049 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_reg.sv' 2024-01-19 02:41:03,050 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_spec_bits.sv' 2024-01-19 02:41:03,050 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_src_sel.sv' 2024-01-19 02:41:03,050 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_cce_wrapper.sv' 2024-01-19 02:41:03,051 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_io_cce.sv' 2024-01-19 02:41:03,051 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/cce/bp_uce.sv' 2024-01-19 02:41:03,051 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_bedrock_register.sv' 2024-01-19 02:41:03,052 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_cfg.sv' 2024-01-19 02:41:03,052 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_clint_slice.sv' 2024-01-19 02:41:03,052 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/dev/bp_me_loopback.sv' 2024-01-19 02:41:03,052 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce.sv' 2024-01-19 02:41:03,053 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce_cmd.sv' 2024-01-19 02:41:03,053 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/lce/bp_lce_req.sv' 2024-01-19 02:41:03,053 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/axi_fifo.sv' 2024-01-19 02:41:03,054 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/axi_lite_to_bp_lite_client.sv' 2024-01-19 02:41:03,054 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/network/bp_lite_to_axi_lite_master.sv' 2024-01-19 02:41:03,054 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_burst_to_lite.sv' 2024-01-19 02:41:03,054 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_lite_to_burst.sv' 2024-01-19 02:41:03,055 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_lite_to_stream.sv' 2024-01-19 02:41:03,055 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_addr_to_cce_id.sv' 2024-01-19 02:41:03,055 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_id_to_cord.sv' 2024-01-19 02:41:03,055 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_bidir.sv' 2024-01-19 02:41:03,055 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_client.sv' 2024-01-19 02:41:03,056 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cce_to_mem_link_master.sv' 2024-01-19 02:41:03,056 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_cord_to_id.sv' 2024-01-19 02:41:03,056 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_lce_id_to_cord.sv' 2024-01-19 02:41:03,056 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_cmd.sv' 2024-01-19 02:41:03,057 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_req.sv' 2024-01-19 02:41:03,057 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_lce_resp.sv' 2024-01-19 02:41:03,057 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_mem_cmd.sv' 2024-01-19 02:41:03,057 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_me_wormhole_packet_encode_mem_resp.sv' 2024-01-19 02:41:03,058 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/src/v/wormhole/bp_stream_to_lite.sv' 2024-01-19 02:41:03,058 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/Makefile' 2024-01-19 02:41:03,058 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/coverage_hier.vcs' 2024-01-19 02:41:03,058 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/coverage_hier.verilator' 2024-01-19 02:41:03,058 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/syn/flist.vcs' 2024-01-19 02:41:03,059 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_cce_dir_nonsynth_tracer.sv' 2024-01-19 02:41:03,059 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_cce_mmio_cfg_loader.sv' 2024-01-19 02:41:03,059 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_ddr.sv' 2024-01-19 02:41:03,060 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_cce_dir_tracer.sv' 2024-01-19 02:41:03,060 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_cce_tracer.sv' 2024-01-19 02:41:03,060 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_lce_tr_tracer.sv' 2024-01-19 02:41:03,060 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_lce_tracer.sv' 2024-01-19 02:41:03,061 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_mock_lce.sv' 2024-01-19 02:41:03,061 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_mock_lce_tag_lookup.sv' 2024-01-19 02:41:03,061 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_me_nonsynth_pkg.sv' 2024-01-19 02:41:03,061 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_mem_nonsynth_tracer.sv' 2024-01-19 02:41:03,062 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_nonsynth_dram.sv' 2024-01-19 02:41:03,062 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bp_nonsynth_mem.sv' 2024-01-19 02:41:03,062 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/common/bsg_trace_node_master.sv' 2024-01-19 02:41:03,062 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/Makefile' 2024-01-19 02:41:03,063 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/README.md' 2024-01-19 02:41:03,063 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/mesi.m' 2024-01-19 02:41:03,063 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/moesi.m' 2024-01-19 02:41:03,064 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/examples/msi.m' 2024-01-19 02:41:03,064 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols/README.md' 2024-01-19 02:41:03,064 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/protocol/protocols/mesi.m' 2024-01-19 02:41:03,065 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.cfgs' 2024-01-19 02:41:03,065 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.dc' 2024-01-19 02:41:03,065 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.params' 2024-01-19 02:41:03,065 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.testlist' 2024-01-19 02:41:03,066 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.vcs' 2024-01-19 02:41:03,066 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/Makefile.verilator' 2024-01-19 02:41:03,066 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/bsg_trace_rom.py' 2024-01-19 02:41:03,066 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/flist.vcs' 2024-01-19 02:41:03,066 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_bp.cpp' 2024-01-19 02:41:03,067 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_gen.py' 2024-01-19 02:41:03,067 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/test_memory.py' 2024-01-19 02:41:03,067 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/testbench.sv' 2024-01-19 02:41:03,067 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/wrapper.sv' 2024-01-19 02:41:03,068 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py/ptgen.py' 2024-01-19 02:41:03,068 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include/bp_top_defines.svh' 2024-01-19 02:41:03,068 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/include/bp_top_pkg.sv' 2024-01-19 02:41:03,069 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_complex.sv' 2024-01-19 02:41:03,069 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_tile.sv' 2024-01-19 02:41:03,069 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_tile_node.sv' 2024-01-19 02:41:03,069 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_cacc_vdp.sv' 2024-01-19 02:41:03,070 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core.sv' 2024-01-19 02:41:03,070 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core_complex.sv' 2024-01-19 02:41:03,070 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_core_minimal.sv' 2024-01-19 02:41:03,071 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_complex.sv' 2024-01-19 02:41:03,071 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_link_to_lce.sv' 2024-01-19 02:41:03,071 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_tile.sv' 2024-01-19 02:41:03,071 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_io_tile_node.sv' 2024-01-19 02:41:03,072 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_l2e_tile.sv' 2024-01-19 02:41:03,072 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_l2e_tile_node.sv' 2024-01-19 02:41:03,072 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_mem_complex.sv' 2024-01-19 02:41:03,072 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_multicore.sv' 2024-01-19 02:41:03,073 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_nd_socket.sv' 2024-01-19 02:41:03,073 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_complex.sv' 2024-01-19 02:41:03,073 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_tile.sv' 2024-01-19 02:41:03,073 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_tile_node.sv' 2024-01-19 02:41:03,073 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_sacc_vdp.sv' 2024-01-19 02:41:03,074 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_tile.sv' 2024-01-19 02:41:03,074 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_tile_node.sv' 2024-01-19 02:41:03,074 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_unicore.sv' 2024-01-19 02:41:03,075 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/src/v/bp_unicore_lite.sv' 2024-01-19 02:41:03,075 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/Makefile' 2024-01-19 02:41:03,075 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/coverage_hier.vcs' 2024-01-19 02:41:03,075 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/coverage_hier.verilator' 2024-01-19 02:41:03,076 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/flist.vcs' 2024-01-19 02:41:03,076 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/syn/lint_settings.verilator' 2024-01-19 02:41:03,076 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_monitor.cpp' 2024-01-19 02:41:03,076 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_branch_profiler.sv' 2024-01-19 02:41:03,077 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_cache_tracer.sv' 2024-01-19 02:41:03,077 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_core_profiler.sv' 2024-01-19 02:41:03,077 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_cosim.sv' 2024-01-19 02:41:03,078 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_host.sv' 2024-01-19 02:41:03,078 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_if_verif.sv' 2024-01-19 02:41:03,078 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_nbf_loader.sv' 2024-01-19 02:41:03,078 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_pc_profiler.sv' 2024-01-19 02:41:03,078 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_perf.sv' 2024-01-19 02:41:03,079 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_vm_tracer.sv' 2024-01-19 02:41:03,079 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/bp_nonsynth_watchdog.sv' 2024-01-19 02:41:03,079 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/common/dromajo_cosim.cpp' 2024-01-19 02:41:03,079 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.cfgs' 2024-01-19 02:41:03,080 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.dc' 2024-01-19 02:41:03,080 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.params' 2024-01-19 02:41:03,080 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.surelog' 2024-01-19 02:41:03,080 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.sv2v' 2024-01-19 02:41:03,081 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.testlist' 2024-01-19 02:41:03,081 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.vcs' 2024-01-19 02:41:03,081 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.verilator' 2024-01-19 02:41:03,081 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/Makefile.vivado' 2024-01-19 02:41:03,081 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/design.xdc' 2024-01-19 02:41:03,082 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/flist.vcs' 2024-01-19 02:41:03,082 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.dump' 2024-01-19 02:41:03,082 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.mem' 2024-01-19 02:41:03,083 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/hello_world.riscv' 2024-01-19 02:41:03,083 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/test_bp.cpp' 2024-01-19 02:41:03,083 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/testbench.sv' 2024-01-19 02:41:03,083 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/test/tb/bp_tethered/wrapper.sv' 2024-01-19 02:41:03,084 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bloodgraph.sh' 2024-01-19 02:41:03,084 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/bootrom.sh' 2024-01-19 02:41:03,084 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_design.sh' 2024-01-19 02:41:03,084 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/check_loops.sh' 2024-01-19 02:41:03,085 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/checkpoint.sh' 2024-01-19 02:41:03,085 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dcache_regress.sh' 2024-01-19 02:41:03,085 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/dram.sh' 2024-01-19 02:41:03,085 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/example_job.sh' 2024-01-19 02:41:03,085 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/icache_regress.sh' 2024-01-19 02:41:03,086 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/l2e_config.sh' 2024-01-19 02:41:03,086 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/lint.sh' 2024-01-19 02:41:03,086 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/linux.sh' 2024-01-19 02:41:03,086 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/me_regress.sh' 2024-01-19 02:41:03,086 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/multicore.sh' 2024-01-19 02:41:03,087 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_atomics.sh' 2024-01-19 02:41:03,087 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/single_core_testlist.sh' 2024-01-19 02:41:03,087 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/surelog.sh' 2024-01-19 02:41:03,087 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/sv2v.sh' 2024-01-19 02:41:03,088 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/synth_vivado.sh' 2024-01-19 02:41:03,088 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/tire_kick.sh' 2024-01-19 02:41:03,088 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/ci/weird_config.sh' 2024-01-19 02:41:03,088 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/backend_guide.md' 2024-01-19 02:41:03,088 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock.png' 2024-01-19 02:41:03,089 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_guide.md' 2024-01-19 02:41:03,089 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_microcode_table.pdf' 2024-01-19 02:41:03,090 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bedrock_uarch_guide.md' 2024-01-19 02:41:03,090 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/bp_logo.png' 2024-01-19 02:41:03,091 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/cce_micro.png' 2024-01-19 02:41:03,093 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/core_micro.png' 2024-01-19 02:41:03,095 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_boot.md' 2024-01-19 02:41:03,096 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_cache.png' 2024-01-19 02:41:03,098 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_cfgbus.png' 2024-01-19 02:41:03,100 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_dma.png' 2024-01-19 02:41:03,102 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_freeze.png' 2024-01-19 02:41:03,104 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_io.png' 2024-01-19 02:41:03,106 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/debug_rf.png' 2024-01-19 02:41:03,107 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/eval_guide.md' 2024-01-19 02:41:03,108 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/interface_specification.md' 2024-01-19 02:41:03,109 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_bug.png' 2024-01-19 02:41:03,111 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_feature.png' 2024-01-19 02:41:03,113 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/issue_info.png' 2024-01-19 02:41:03,113 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/microarchitecture_guide.md' 2024-01-19 02:41:03,114 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/platform_guide.md' 2024-01-19 02:41:03,114 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/style_guide.md' 2024-01-19 02:41:03,114 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/testbench_guide.md' 2024-01-19 02:41:03,116 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/docs/tile_taxonomy.png' 2024-01-19 02:41:03,120 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/COPYING.txt' 2024-01-19 02:41:03,120 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.html' 2024-01-19 02:41:03,120 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.md' 2024-01-19 02:41:03,121 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/README.txt' 2024-01-19 02:41:03,121 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-Verilog.html' 2024-01-19 02:41:03,122 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-test-Verilator.html' 2024-01-19 02:41:03,122 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/HardFloat-test-Verilog.html' 2024-01-19 02:41:03,123 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/doc/fma.svg' 2024-01-19 02:41:03,123 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_consts.vi' 2024-01-19 02:41:03,123 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_localFuncs.vi' 2024-01-19 02:41:03,123 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_primitives.v' 2024-01-19 02:41:03,124 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/HardFloat_rawFN.v' 2024-01-19 02:41:03,124 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/addRecFN.v' 2024-01-19 02:41:03,124 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/bsg_hardfloat_pkg.v' 2024-01-19 02:41:03,125 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/compareRecFN.v' 2024-01-19 02:41:03,125 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/divSqrtRecFN_small.v' 2024-01-19 02:41:03,125 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/fNToRecFN.v' 2024-01-19 02:41:03,125 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/iNToRecFN.v' 2024-01-19 02:41:03,126 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/isSigNaNRecFN.v' 2024-01-19 02:41:03,126 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/mulAddRecFN.v' 2024-01-19 02:41:03,126 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/mulRecFN.v' 2024-01-19 02:41:03,126 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToFN.v' 2024-01-19 02:41:03,127 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToIN.v' 2024-01-19 02:41:03,127 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/recFNToRecFN.v' 2024-01-19 02:41:03,127 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE/HardFloat_specialize.v' 2024-01-19 02:41:03,127 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/8086-SSE/HardFloat_specialize.vi' 2024-01-19 02:41:03,128 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2/HardFloat_specialize.v' 2024-01-19 02:41:03,128 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/ARM-VFPv2/HardFloat_specialize.vi' 2024-01-19 02:41:03,128 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV/HardFloat_specialize.v' 2024-01-19 02:41:03,128 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/source/RISCV/HardFloat_specialize.vi' 2024-01-19 02:41:03,129 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/addRecFN_spec.v' 2024-01-19 02:41:03,129 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/compareRecFN_spec.v' 2024-01-19 02:41:03,129 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/divSqrtRecFN_small_spec.v' 2024-01-19 02:41:03,129 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/fNToRecFN_spec.v' 2024-01-19 02:41:03,130 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/finish_fail-IcarusVerilog.vi' 2024-01-19 02:41:03,130 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/iNToRecFN_spec.v' 2024-01-19 02:41:03,130 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/mulAddRecFN_spec.v' 2024-01-19 02:41:03,130 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/mulRecFN_spec.v' 2024-01-19 02:41:03,131 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToFN_spec.v' 2024-01-19 02:41:03,131 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToIN_spec.v' 2024-01-19 02:41:03,131 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/recFNToRecFN_spec.v' 2024-01-19 02:41:03,131 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/sameRecFN.v' 2024-01-19 02:41:03,132 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_addRecFN_add.v' 2024-01-19 02:41:03,132 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_addRecFN_sub.v' 2024-01-19 02:41:03,132 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_compareRecFN.v' 2024-01-19 02:41:03,132 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_divSqrtRecFN_small_div.v' 2024-01-19 02:41:03,133 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_divSqrtRecFN_small_sqrt.v' 2024-01-19 02:41:03,133 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_iNToRecFN.v' 2024-01-19 02:41:03,133 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN.v' 2024-01-19 02:41:03,133 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN_add.v' 2024-01-19 02:41:03,134 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulAddRecFN_mul.v' 2024-01-19 02:41:03,134 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_mulRecFN.v' 2024-01-19 02:41:03,134 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToFN.v' 2024-01-19 02:41:03,135 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToIN.v' 2024-01-19 02:41:03,135 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/test_recFNToRecFN.v' 2024-01-19 02:41:03,135 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/testCommon.c' 2024-01-19 02:41:03,136 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/testCommon.h' 2024-01-19 02:41:03,136 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF128.cpp' 2024-01-19 02:41:03,136 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF16.cpp' 2024-01-19 02:41:03,136 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF32.cpp' 2024-01-19 02:41:03,137 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_abz_recF64.cpp' 2024-01-19 02:41:03,137 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF128.cpp' 2024-01-19 02:41:03,137 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF16.cpp' 2024-01-19 02:41:03,137 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF32.cpp' 2024-01-19 02:41:03,137 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_compareRecF64.cpp' 2024-01-19 02:41:03,138 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF128_small_div.cpp' 2024-01-19 02:41:03,138 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF128_small_sqrt.cpp' 2024-01-19 02:41:03,138 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF16_small_div.cpp' 2024-01-19 02:41:03,139 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF16_small_sqrt.cpp' 2024-01-19 02:41:03,139 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF32_small_div.cpp' 2024-01-19 02:41:03,139 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF32_small_sqrt.cpp' 2024-01-19 02:41:03,139 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF64_small_div.cpp' 2024-01-19 02:41:03,140 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_divSqrtRecF64_small_sqrt.cpp' 2024-01-19 02:41:03,140 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f128ToRecF128.cpp' 2024-01-19 02:41:03,140 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f16ToRecF16.cpp' 2024-01-19 02:41:03,140 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f32ToRecF32.cpp' 2024-01-19 02:41:03,141 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_f64ToRecF64.cpp' 2024-01-19 02:41:03,141 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF128.cpp' 2024-01-19 02:41:03,141 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF16.cpp' 2024-01-19 02:41:03,141 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF32.cpp' 2024-01-19 02:41:03,142 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i32ToRecF64.cpp' 2024-01-19 02:41:03,142 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF128.cpp' 2024-01-19 02:41:03,142 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF16.cpp' 2024-01-19 02:41:03,142 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF32.cpp' 2024-01-19 02:41:03,143 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_i64ToRecF64.cpp' 2024-01-19 02:41:03,143 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF128.cpp' 2024-01-19 02:41:03,143 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF16.cpp' 2024-01-19 02:41:03,143 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF32.cpp' 2024-01-19 02:41:03,144 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_mulAddRecF64.cpp' 2024-01-19 02:41:03,144 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToF128.cpp' 2024-01-19 02:41:03,144 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToI32.cpp' 2024-01-19 02:41:03,144 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToI64.cpp' 2024-01-19 02:41:03,145 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF16.cpp' 2024-01-19 02:41:03,145 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF32.cpp' 2024-01-19 02:41:03,145 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToRecF64.cpp' 2024-01-19 02:41:03,145 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToUi32.cpp' 2024-01-19 02:41:03,146 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF128ToUi64.cpp' 2024-01-19 02:41:03,146 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToF16.cpp' 2024-01-19 02:41:03,146 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToI32.cpp' 2024-01-19 02:41:03,146 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToI64.cpp' 2024-01-19 02:41:03,147 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF128.cpp' 2024-01-19 02:41:03,147 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF32.cpp' 2024-01-19 02:41:03,147 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToRecF64.cpp' 2024-01-19 02:41:03,147 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToUi32.cpp' 2024-01-19 02:41:03,148 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF16ToUi64.cpp' 2024-01-19 02:41:03,148 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToF32.cpp' 2024-01-19 02:41:03,148 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToI32.cpp' 2024-01-19 02:41:03,148 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToI64.cpp' 2024-01-19 02:41:03,148 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF128.cpp' 2024-01-19 02:41:03,149 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF16.cpp' 2024-01-19 02:41:03,149 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToRecF64.cpp' 2024-01-19 02:41:03,149 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToUi32.cpp' 2024-01-19 02:41:03,149 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF32ToUi64.cpp' 2024-01-19 02:41:03,150 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToF64.cpp' 2024-01-19 02:41:03,150 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToI32.cpp' 2024-01-19 02:41:03,150 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToI64.cpp' 2024-01-19 02:41:03,150 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF128.cpp' 2024-01-19 02:41:03,151 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF16.cpp' 2024-01-19 02:41:03,151 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToRecF32.cpp' 2024-01-19 02:41:03,151 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToUi32.cpp' 2024-01-19 02:41:03,151 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_recF64ToUi64.cpp' 2024-01-19 02:41:03,152 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF128.cpp' 2024-01-19 02:41:03,152 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF16.cpp' 2024-01-19 02:41:03,152 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF32.cpp' 2024-01-19 02:41:03,152 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui32ToRecF64.cpp' 2024-01-19 02:41:03,153 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF128.cpp' 2024-01-19 02:41:03,153 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF16.cpp' 2024-01-19 02:41:03,153 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF32.cpp' 2024-01-19 02:41:03,153 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/HardFloat/test/source/Verilator/test_ui64ToRecF64.cpp' 2024-01-19 02:41:03,154 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/.gitignore' 2024-01-19 02:41:03,154 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/.gitmodules' 2024-01-19 02:41:03,154 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/LICENSE' 2024-01-19 02:41:03,154 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/README.md' 2024-01-19 02:41:03,154 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/README_contributing' 2024-01-19 02:41:03,155 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_credit_counter.v' 2024-01-19 02:41:03,155 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_fifo.v' 2024-01-19 02:41:03,155 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_async_ptr_gray.v' 2024-01-19 02:41:03,155 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_launch_sync_sync.v' 2024-01-19 02:41:03,156 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_async/bsg_sync_sync.v' 2024-01-19 02:41:03,156 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache.v' 2024-01-19 02:41:03,156 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache.vh' 2024-01-19 02:41:03,157 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_decode.v' 2024-01-19 02:41:03,157 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_dma.v' 2024-01-19 02:41:03,157 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_miss.v' 2024-01-19 02:41:03,158 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking.v' 2024-01-19 02:41:03,158 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_data_mem.v' 2024-01-19 02:41:03,158 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_decode.v' 2024-01-19 02:41:03,158 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_dma.v' 2024-01-19 02:41:03,159 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_mhu.v' 2024-01-19 02:41:03,159 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_miss_fifo.v' 2024-01-19 02:41:03,159 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_pkg.v' 2024-01-19 02:41:03,160 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_stat_mem.v' 2024-01-19 02:41:03,160 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_tag_mem.v' 2024-01-19 02:41:03,160 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_non_blocking_tl_stage.v' 2024-01-19 02:41:03,160 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_pkg.v' 2024-01-19 02:41:03,161 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf.v' 2024-01-19 02:41:03,161 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_sbuf_queue.v' 2024-01-19 02:41:03,161 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi.v' 2024-01-19 02:41:03,161 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_rx.v' 2024-01-19 02:41:03,162 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_axi_tx.v' 2024-01-19 02:41:03,162 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl.v' 2024-01-19 02:41:03,162 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl_rx.v' 2024-01-19 02:41:03,162 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_dram_ctrl_tx.v' 2024-01-19 02:41:03,162 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram.v' 2024-01-19 02:41:03,163 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_rx.v' 2024-01-19 02:41:03,163 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_rx_reorder.v' 2024-01-19 02:41:03,163 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_cache_to_test_dram_tx.v' 2024-01-19 02:41:03,163 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_cache/bsg_nonsynth_cache_axe_tracer.v' 2024-01-19 02:41:03,164 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_nonsynth_mixin_motherboard.v' 2024-01-19 02:41:03,164 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/README' 2024-01-19 02:41:03,164 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_chip_rocket.v' 2024-01-19 02:41:03,164 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_nonsynth_chipset_rocket_fsb.v' 2024-01-19 02:41:03,165 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_chip/bsg_rocket/bsg_rocket_core_fsb.v' 2024-01-19 02:41:03,165 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen.v' 2024-01-19 02:41:03,165 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen.vh' 2024-01-19 02:41:03,165 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_clk_gen_osc.v' 2024-01-19 02:41:03,166 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_dly_line.v' 2024-01-19 02:41:03,166 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_dram_clk_gen.v' 2024-01-19 02:41:03,166 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_edge_balanced_mux4.v' 2024-01-19 02:41:03,166 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_clk_gen/bsg_nonsynth_clk_watcher.v' 2024-01-19 02:41:03,167 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/README.md' 2024-01-19 02:41:03,167 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_assembler_in.v' 2024-01-19 02:41:03,167 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_assembler_out.v' 2024-01-19 02:41:03,167 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_comm_link.v' 2024-01-19 02:41:03,168 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_comm_link.vh' 2024-01-19 02:41:03,168 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_master.v' 2024-01-19 02:41:03,168 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_master_master.v' 2024-01-19 02:41:03,169 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_channel_control_slave.v' 2024-01-19 02:41:03,169 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_input.v' 2024-01-19 02:41:03,169 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/bsg_source_sync_output.v' 2024-01-19 02:41:03,169 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/test_bsg_comm_link_checker.v' 2024-01-19 02:41:03,170 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/README' 2024-01-19 02:41:03,170 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_comm_link_master_calib_skip_rom.trace.in' 2024-01-19 02:41:03,170 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_comm_link_master_calib_skip_rom.v' 2024-01-19 02:41:03,170 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_source_sync_channel_control_master.v' 2024-01-19 02:41:03,171 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/master_calib_skip/bsg_source_sync_channel_control_master_master.v' 2024-01-19 02:41:03,171 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/README' 2024-01-19 02:41:03,171 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_assembler/test_bsg_assembler.v' 2024-01-19 02:41:03,172 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_comm_link/test_bsg_comm_link.v' 2024-01-19 02:41:03,172 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync/Makefile' 2024-01-19 02:41:03,172 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_comm_link/tests/test_bsg_source_sync/test_bsg_source_sync.v' 2024-01-19 02:41:03,172 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged.v' 2024-01-19 02:41:03,173 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged_fifo.v' 2024-01-19 02:41:03,173 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_1_to_n_tagged_fifo_shared.v' 2024-01-19 02:41:03,173 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_decode_comb.v' 2024-01-19 02:41:03,173 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_encode_comb.v' 2024-01-19 02:41:03,174 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_8b10b_shift_decoder.v' 2024-01-19 02:41:03,174 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_narrow.v' 2024-01-19 02:41:03,174 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel.v' 2024-01-19 02:41:03,174 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_in.v' 2024-01-19 02:41:03,175 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_out.v' 2024-01-19 02:41:03,175 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_channel_tunnel_wormhole.v' 2024-01-19 02:41:03,175 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_compare_and_swap.v' 2024-01-19 02:41:03,175 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_credit_to_token.v' 2024-01-19 02:41:03,176 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_large.v' 2024-01-19 02:41:03,176 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_large_banked.v' 2024-01-19 02:41:03,176 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_narrowed.v' 2024-01-19 02:41:03,176 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_pseudo_large.v' 2024-01-19 02:41:03,177 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small.v' 2024-01-19 02:41:03,177 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_credit_on_input.v' 2024-01-19 02:41:03,177 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_hardened.v' 2024-01-19 02:41:03,177 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1r1w_small_unhardened.v' 2024-01-19 02:41:03,177 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_1rw_large.v' 2024-01-19 02:41:03,178 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_bypass.v' 2024-01-19 02:41:03,178 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_reorder.v' 2024-01-19 02:41:03,178 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_shift_datapath.v' 2024-01-19 02:41:03,178 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_fifo_tracker.v' 2024-01-19 02:41:03,179 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flatten_2D_array.v' 2024-01-19 02:41:03,179 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flow_convert.v' 2024-01-19 02:41:03,179 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_flow_counter.v' 2024-01-19 02:41:03,179 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_make_2D_array.v' 2024-01-19 02:41:03,179 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_one_fifo.v' 2024-01-19 02:41:03,180 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out.v' 2024-01-19 02:41:03,180 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out_dynamic.v' 2024-01-19 02:41:03,180 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_parallel_in_serial_out_passthrough.v' 2024-01-19 02:41:03,180 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_permute_box.v' 2024-01-19 02:41:03,180 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_ready_to_credit_flow_converter.v' 2024-01-19 02:41:03,181 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_relay_fifo.v' 2024-01-19 02:41:03,181 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_1_to_n.v' 2024-01-19 02:41:03,181 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_2_to_2.v' 2024-01-19 02:41:03,181 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_fifo_to_fifo.v' 2024-01-19 02:41:03,182 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_round_robin_n_to_1.v' 2024-01-19 02:41:03,182 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sbox.v' 2024-01-19 02:41:03,182 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.py' 2024-01-19 02:41:03,186 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_scatter_gather.v' 2024-01-19 02:41:03,193 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out.v' 2024-01-19 02:41:03,194 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_dynamic.v' 2024-01-19 02:41:03,194 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_full.v' 2024-01-19 02:41:03,194 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_serial_in_parallel_out_passthrough.v' 2024-01-19 02:41:03,194 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_shift_reg.v' 2024-01-19 02:41:03,195 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sort_4.v' 2024-01-19 02:41:03,195 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_sort_stable.v' 2024-01-19 02:41:03,195 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_two_buncher.v' 2024-01-19 02:41:03,195 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/bsg_two_fifo.v' 2024-01-19 02:41:03,195 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/README.md' 2024-01-19 02:41:03,196 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc.v' 2024-01-19 02:41:03,196 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_clk_rst_gen.v' 2024-01-19 02:41:03,196 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_controller.v' 2024-01-19 02:41:03,197 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_phy.v' 2024-01-19 02:41:03,197 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dmc/bsg_dmc_pkg.v' 2024-01-19 02:41:03,197 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/README.md' 2024-01-19 02:41:03,197 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_add_sub.v' 2024-01-19 02:41:03,198 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_classify.v' 2024-01-19 02:41:03,198 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_clz.v' 2024-01-19 02:41:03,198 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_cmp.v' 2024-01-19 02:41:03,198 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_defines.vh' 2024-01-19 02:41:03,199 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_f2i.v' 2024-01-19 02:41:03,199 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_i2f.v' 2024-01-19 02:41:03,199 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_mul.v' 2024-01-19 02:41:03,199 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_preprocess.v' 2024-01-19 02:41:03,199 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fpu/bsg_fpu_sticky.v' 2024-01-19 02:41:03,200 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_in.v' 2024-01-19 02:41:03,200 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_in_no_fc.v' 2024-01-19 02:41:03,200 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_front_side_bus_hop_out.v' 2024-01-19 02:41:03,200 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb.v' 2024-01-19 02:41:03,201 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_murn_gateway.v' 2024-01-19 02:41:03,201 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_async_buffer.v' 2024-01-19 02:41:03,201 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_level_shift_fsb_domain.v' 2024-01-19 02:41:03,201 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_level_shift_node_domain.v' 2024-01-19 02:41:03,202 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_node_trace_replay.v' 2024-01-19 02:41:03,202 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_fsb_pkg.v' 2024-01-19 02:41:03,202 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_fsb/bsg_nonsynth_fsb_node_trace_replay.v' 2024-01-19 02:41:03,202 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_legacy/bsg_murn_converter.v' 2024-01-19 02:41:03,203 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_ddr_downstream.v' 2024-01-19 02:41:03,203 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_ddr_upstream.v' 2024-01-19 02:41:03,203 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_iddr_phy.v' 2024-01-19 02:41:03,203 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_isdr_phy.v' 2024-01-19 02:41:03,204 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_oddr_phy.v' 2024-01-19 02:41:03,204 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy.v' 2024-01-19 02:41:03,204 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_osdr_phy_phase_align.v' 2024-01-19 02:41:03,204 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr.v' 2024-01-19 02:41:03,205 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr_downstream.v' 2024-01-19 02:41:03,205 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_sdr_upstream.v' 2024-01-19 02:41:03,205 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_downstream.v' 2024-01-19 02:41:03,205 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_upstream.v' 2024-01-19 02:41:03,206 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_link/bsg_link_source_sync_upstream_sync.v' 2024-01-19 02:41:03,206 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/Makefile' 2024-01-19 02:41:03,206 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/bsg_hypotenuse.v' 2024-01-19 02:41:03,206 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/test_bsg_hypotenuse.v' 2024-01-19 02:41:03,207 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/Makefile' 2024-01-19 02:41:03,207 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/README' 2024-01-19 02:41:03,207 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_math/bsg_hypotenuse/c/sqrt.c' 2024-01-19 02:41:03,207 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/README' 2024-01-19 02:41:03,207 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_ascii_to_rom.py' 2024-01-19 02:41:03,208 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w.v' 2024-01-19 02:41:03,208 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_replacement.v' 2024-01-19 02:41:03,208 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_sync.v' 2024-01-19 02:41:03,208 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_sync_unmanaged.v' 2024-01-19 02:41:03,209 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_tag_array.v' 2024-01-19 02:41:03,210 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_cam_1r1w_unmanaged.v' 2024-01-19 02:41:03,210 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w.v' 2024-01-19 02:41:03,210 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_one_hot.v' 2024-01-19 02:41:03,210 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync.v' 2024-01-19 02:41:03,211 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v' 2024-01-19 02:41:03,211 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit_synth.v' 2024-01-19 02:41:03,211 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_mask_write_var.v' 2024-01-19 02:41:03,211 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_sync_synth.v' 2024-01-19 02:41:03,212 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1r1w_synth.v' 2024-01-19 02:41:03,212 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync.v' 2024-01-19 02:41:03,212 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_banked.v' 2024-01-19 02:41:03,212 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-01-19 02:41:03,212 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_banked.v' 2024-01-19 02:41:03,213 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_synth.v' 2024-01-19 02:41:03,213 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v' 2024-01-19 02:41:03,213 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_banked.v' 2024-01-19 02:41:03,213 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_synth.v' 2024-01-19 02:41:03,214 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_mask_write_var.v' 2024-01-19 02:41:03,214 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_1rw_sync_synth.v' 2024-01-19 02:41:03,214 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w.v' 2024-01-19 02:41:03,214 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_sync.v' 2024-01-19 02:41:03,214 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_sync_synth.v' 2024-01-19 02:41:03,215 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_2r1w_synth.v' 2024-01-19 02:41:03,215 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w.v' 2024-01-19 02:41:03,215 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_sync.v' 2024-01-19 02:41:03,215 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_sync_synth.v' 2024-01-19 02:41:03,216 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_3r1w_synth.v' 2024-01-19 02:41:03,216 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_banked_crossbar.v' 2024-01-19 02:41:03,216 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_dma.cpp' 2024-01-19 02:41:03,216 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_dma.hpp' 2024-01-19 02:41:03,216 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_mem_multiport.v' 2024-01-19 02:41:03,217 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1r1w_sync_dma.v' 2024-01-19 02:41:03,217 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1r1w_sync_mask_write_byte_dma.v' 2024-01-19 02:41:03,217 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_assoc.v' 2024-01-19 02:41:03,217 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_assoc.v' 2024-01-19 02:41:03,218 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma.v' 2024-01-19 02:41:03,218 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/sim/Makefile' 2024-01-19 02:41:03,218 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_ddr_sampler.v' 2024-01-19 02:41:03,218 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_logic_analyzer.v' 2024-01-19 02:41:03,219 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_core.v' 2024-01-19 02:41:03,219 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_input.v' 2024-01-19 02:41:03,219 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_link.v' 2024-01-19 02:41:03,219 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/bsg_mesosync_output.v' 2024-01-19 02:41:03,220 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/config_defs.v' 2024-01-19 02:41:03,220 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/src/definitions.v' 2024-01-19 02:41:03,220 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/Makefile' 2024-01-19 02:41:03,220 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/bsg_mesosync_link.constraints.tcl' 2024-01-19 02:41:03,221 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/bsg_mesosync_link.dc.read_design.tcl' 2024-01-19 02:41:03,221 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/common_setup.tcl' 2024-01-19 02:41:03,221 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc.tcl' 2024-01-19 02:41:03,221 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc_setup.tcl' 2024-01-19 02:41:03,222 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/synth/dc_scripts/dc_setup_filenames.tcl' 2024-01-19 02:41:03,222 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb.v' 2024-01-19 02:41:03,222 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mesosync_io/tests/mesosynctb_gate_level.v' 2024-01-19 02:41:03,223 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_abs.v' 2024-01-19 02:41:03,223 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_cin.v' 2024-01-19 02:41:03,224 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_one_hot.v' 2024-01-19 02:41:03,224 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_adder_ripple_carry.v' 2024-01-19 02:41:03,224 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_and.v' 2024-01-19 02:41:03,224 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_arb_fixed.v' 2024-01-19 02:41:03,224 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_arb_round_robin.v' 2024-01-19 02:41:03,225 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_array_concentrate_static.v' 2024-01-19 02:41:03,225 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_array_reverse.v' 2024-01-19 02:41:03,225 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_binary_plus_one_to_gray.v' 2024-01-19 02:41:03,225 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_buf.v' 2024-01-19 02:41:03,225 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_buf_ctrl.v' 2024-01-19 02:41:03,226 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_circular_ptr.v' 2024-01-19 02:41:03,226 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_clkbuf.v' 2024-01-19 02:41:03,226 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_clkgate_optional.v' 2024-01-19 02:41:03,226 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_concentrate_static.v' 2024-01-19 02:41:03,226 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clear_up.v' 2024-01-19 02:41:03,227 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clear_up_one_hot.v' 2024-01-19 02:41:03,227 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_clock_downsample.v' 2024-01-19 02:41:03,227 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_dynamic_limit.v' 2024-01-19 02:41:03,227 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_dynamic_limit_en.v' 2024-01-19 02:41:03,227 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_overflow_en.v' 2024-01-19 02:41:03,228 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_overflow_set_en.v' 2024-01-19 02:41:03,228 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_set_down.v' 2024-01-19 02:41:03,228 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_set_en.v' 2024-01-19 02:41:03,228 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_up_down.v' 2024-01-19 02:41:03,229 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counter_up_down_variable.v' 2024-01-19 02:41:03,229 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_counting_leading_zeros.v' 2024-01-19 02:41:03,229 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_crossbar_control_basic_o_by_i.v' 2024-01-19 02:41:03,229 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_crossbar_o_by_i.v' 2024-01-19 02:41:03,229 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_cycle_counter.v' 2024-01-19 02:41:03,230 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_decode.v' 2024-01-19 02:41:03,230 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_decode_with_v.v' 2024-01-19 02:41:03,230 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_defines.v' 2024-01-19 02:41:03,230 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff.v' 2024-01-19 02:41:03,230 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_async_reset.v' 2024-01-19 02:41:03,231 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_chain.v' 2024-01-19 02:41:03,231 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_en.v' 2024-01-19 02:41:03,231 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_en_bypass.v' 2024-01-19 02:41:03,231 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_gatestack.v' 2024-01-19 02:41:03,231 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_negedge_reset.v' 2024-01-19 02:41:03,232 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset.v' 2024-01-19 02:41:03,232 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_en.v' 2024-01-19 02:41:03,232 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_en_bypass.v' 2024-01-19 02:41:03,232 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dff_reset_set_clear.v' 2024-01-19 02:41:03,232 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_dlatch.v' 2024-01-19 02:41:03,233 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_edge_detect.v' 2024-01-19 02:41:03,233 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_encode_one_hot.v' 2024-01-19 02:41:03,233 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_expand_bitmask.v' 2024-01-19 02:41:03,233 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_gray_to_binary.v' 2024-01-19 02:41:03,233 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_hash_bank.v' 2024-01-19 02:41:03,234 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_hash_bank_reverse.v' 2024-01-19 02:41:03,234 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_id_pool.v' 2024-01-19 02:41:03,234 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_idiv_iterative.v' 2024-01-19 02:41:03,234 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_idiv_iterative_controller.v' 2024-01-19 02:41:03,235 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_imul_iterative.v' 2024-01-19 02:41:03,235 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_inv.v' 2024-01-19 02:41:03,235 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_less_than.v' 2024-01-19 02:41:03,235 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_level_shift_up_down_sink.v' 2024-01-19 02:41:03,236 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_level_shift_up_down_source.v' 2024-01-19 02:41:03,236 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lfsr.v' 2024-01-19 02:41:03,236 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_locking_arb_fixed.v' 2024-01-19 02:41:03,236 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_backup.v' 2024-01-19 02:41:03,236 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_decode.v' 2024-01-19 02:41:03,237 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_lru_pseudo_tree_encode.v' 2024-01-19 02:41:03,237 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul.v' 2024-01-19 02:41:03,237 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_add_unsigned.v' 2024-01-19 02:41:03,237 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_array.v' 2024-01-19 02:41:03,237 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_array_row.v' 2024-01-19 02:41:03,238 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_pipelined.v' 2024-01-19 02:41:03,238 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul_synth.v' 2024-01-19 02:41:03,238 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux.v' 2024-01-19 02:41:03,238 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux2_gatestack.v' 2024-01-19 02:41:03,239 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_bitwise.v' 2024-01-19 02:41:03,239 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_butterfly.v' 2024-01-19 02:41:03,239 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_one_hot.v' 2024-01-19 02:41:03,239 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mux_segmented.v' 2024-01-19 02:41:03,239 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_muxi2_gatestack.v' 2024-01-19 02:41:03,240 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nand.v' 2024-01-19 02:41:03,240 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nor2.v' 2024-01-19 02:41:03,240 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_nor3.v' 2024-01-19 02:41:03,240 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_pg_tree.v' 2024-01-19 02:41:03,240 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_popcount.v' 2024-01-19 02:41:03,241 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_priority_encode.v' 2024-01-19 02:41:03,241 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_priority_encode_one_hot_out.v' 2024-01-19 02:41:03,241 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_reduce.v' 2024-01-19 02:41:03,241 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_reduce_segmented.v' 2024-01-19 02:41:03,242 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_rotate_left.v' 2024-01-19 02:41:03,242 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_rotate_right.v' 2024-01-19 02:41:03,242 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.py' 2024-01-19 02:41:03,243 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_round_robin_arb.v' 2024-01-19 02:41:03,244 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_scan.v' 2024-01-19 02:41:03,244 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_strobe.v' 2024-01-19 02:41:03,244 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_swap.v' 2024-01-19 02:41:03,244 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_thermometer_count.v' 2024-01-19 02:41:03,244 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_tiehi.v' 2024-01-19 02:41:03,245 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_tielo.v' 2024-01-19 02:41:03,245 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_transpose.v' 2024-01-19 02:41:03,245 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_unconcentrate_static.v' 2024-01-19 02:41:03,245 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_wait_after_reset.v' 2024-01-19 02:41:03,245 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_wait_cycles.v' 2024-01-19 02:41:03,246 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_xnor.v' 2024-01-19 02:41:03,246 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_xor.v' 2024-01-19 02:41:03,246 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_booth_4_block.v' 2024-01-19 02:41:03,246 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v' 2024-01-19 02:41:03,246 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_comp42.v' 2024-01-19 02:41:03,247 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v' 2024-01-19 02:41:03,248 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_barrier.v' 2024-01-19 02:41:03,248 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router.v' 2024-01-19 02:41:03,248 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_buffered.v' 2024-01-19 02:41:03,248 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_decoder_dor.v' 2024-01-19 02:41:03,249 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_router_pkg.v' 2024-01-19 02:41:03,249 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_stitch.v' 2024-01-19 02:41:03,249 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.py' 2024-01-19 02:41:03,250 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_mesh_to_ring_stitch.v' 2024-01-19 02:41:03,251 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_links.vh' 2024-01-19 02:41:03,251 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_pkg.v' 2024-01-19 02:41:03,251 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_noc_repeater_node.v' 2024-01-19 02:41:03,251 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_ready_and_link_async_to_wormhole.v' 2024-01-19 02:41:03,252 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_router_crossbar_o_by_i.v' 2024-01-19 02:41:03,252 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator.v' 2024-01-19 02:41:03,252 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator_in.v' 2024-01-19 02:41:03,252 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_concentrator_out.v' 2024-01-19 02:41:03,252 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router.v' 2024-01-19 02:41:03,253 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router.vh' 2024-01-19 02:41:03,253 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter.v' 2024-01-19 02:41:03,253 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter_in.v' 2024-01-19 02:41:03,253 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_adapter_out.v' 2024-01-19 02:41:03,254 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_decoder_dor.v' 2024-01-19 02:41:03,254 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_input_control.v' 2024-01-19 02:41:03,254 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_output_control.v' 2024-01-19 02:41:03,254 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/bsg_wormhole_router_pkg.v' 2024-01-19 02:41:03,255 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti/bsg_vscale_hasti_converter.v' 2024-01-19 02:41:03,255 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_hasti/bsg_vscale_pkg.v' 2024-01-19 02:41:03,255 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_htif/bsg_fsb_to_htif_connector.v' 2024-01-19 02:41:03,255 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_fsb_to_nasti_master_connector.v' 2024-01-19 02:41:03,256 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_fsb_to_nasti_slave_connector.v' 2024-01-19 02:41:03,256 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_riscv/bsg_nasti/bsg_nasti_pkg.v' 2024-01-19 02:41:03,256 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag.vh' 2024-01-19 02:41:03,256 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_client.v' 2024-01-19 02:41:03,257 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_client_unsync.v' 2024-01-19 02:41:03,257 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_master.v' 2024-01-19 02:41:03,257 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_master_decentralized.v' 2024-01-19 02:41:03,257 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_pkg.v' 2024-01-19 02:41:03,258 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/bsg_tag_trace_replay.v' 2024-01-19 02:41:03,338 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/.gitignore' 2024-01-19 02:41:03,338 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.bib' 2024-01-19 02:41:03,339 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.pdf' 2024-01-19 02:41:03,340 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/20130219.tex' 2024-01-19 02:41:03,341 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/Makefile' 2024-01-19 02:41:03,341 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/config-net.tex' 2024-01-19 02:41:03,341 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/config-node.tex' 2024-01-19 02:41:03,341 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/metastability.tex' 2024-01-19 02:41:03,342 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2-in0.tex' 2024-01-19 02:41:03,342 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2-in1.tex' 2024-01-19 02:41:03,342 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/nand2.tex' 2024-01-19 02:41:03,342 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/our-cdc.tex' 2024-01-19 02:41:03,343 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/relay-node.tex' 2024-01-19 02:41:03,343 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/docs/figures/ucsd_ccores.png' 2024-01-19 02:41:03,344 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/Makefile' 2024-01-19 02:41:03,344 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_file_setter.v' 2024-01-19 02:41:03,344 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_node_bind.v' 2024-01-19 02:41:03,345 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_setter.v' 2024-01-19 02:41:03,345 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_snooper_bind.v' 2024-01-19 02:41:03,345 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/config_spec.in' 2024-01-19 02:41:03,345 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/generate_tb.py' 2024-01-19 02:41:03,346 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/send_config_tag.v' 2024-01-19 02:41:03,346 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/cfgtaggw.v' 2024-01-19 02:41:03,346 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_defs.v' 2024-01-19 02:41:03,346 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_node.v' 2024-01-19 02:41:03,347 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_snooper.v' 2024-01-19 02:41:03,347 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/config_utils.v' 2024-01-19 02:41:03,347 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/rNandMeta.v' 2024-01-19 02:41:03,347 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/src/relay_node.v' 2024-01-19 02:41:03,348 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/cfg_tag_tb.v' 2024-01-19 02:41:03,348 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/cfgtag.v' 2024-01-19 02:41:03,349 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/tests/cfgtaggw_test/config_defs.v' 2024-01-19 02:41:03,350 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3.cpp' 2024-01-19 02:41:03,350 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3.vh' 2024-01-19 02:41:03,351 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_dramsim3_pkg.v' 2024-01-19 02:41:03,352 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_ascii_writer.v' 2024-01-19 02:41:03,352 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_axi_mem.v' 2024-01-19 02:41:03,353 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_clock_gen.v' 2024-01-19 02:41:03,353 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_clock_gen_plusarg.v' 2024-01-19 02:41:03,354 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_delay_line.v' 2024-01-19 02:41:03,354 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi.hpp' 2024-01-19 02:41:03,355 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.cpp' 2024-01-19 02:41:03,356 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.hpp' 2024-01-19 02:41:03,356 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_clock_gen.v' 2024-01-19 02:41:03,357 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_cycle_counter.hpp' 2024-01-19 02:41:03,357 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_cycle_counter.v' 2024-01-19 02:41:03,358 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_errno.hpp' 2024-01-19 02:41:03,358 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_fifo.hpp' 2024-01-19 02:41:03,359 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_from_fifo.v' 2024-01-19 02:41:03,360 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_gpio.hpp' 2024-01-19 02:41:03,360 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_gpio.v' 2024-01-19 02:41:03,361 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_rom.hpp' 2024-01-19 02:41:03,362 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_rom.v' 2024-01-19 02:41:03,362 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dpi_to_fifo.v' 2024-01-19 02:41:03,363 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3.svh' 2024-01-19 02:41:03,363 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3.v' 2024-01-19 02:41:03,364 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3_map.v' 2024-01-19 02:41:03,365 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_dramsim3_unmap.v' 2024-01-19 02:41:03,365 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_ramulator_hbm.v' 2024-01-19 02:41:03,366 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_random_gen.v' 2024-01-19 02:41:03,366 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_reset_gen.v' 2024-01-19 02:41:03,367 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_test_rom.v' 2024-01-19 02:41:03,367 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_triwire.v' 2024-01-19 02:41:03,368 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_nonsynth_val_watcher_1p.v' 2024-01-19 02:41:03,368 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_ramulator_hbm.cpp' 2024-01-19 02:41:03,369 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/bsg_trace_replay.v' 2024-01-19 02:41:03,370 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/libdramsim3.mk' 2024-01-19 02:41:03,370 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_assembler_defines.v' 2024-01-19 02:41:03,371 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_bsg_clock_params.v' 2024-01-19 02:41:03,371 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_test/test_bsg_data_gen.v' 2024-01-19 02:41:03,372 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/distrib/Makefile' 2024-01-19 02:41:03,375 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs/BaseJump_STL_DAC_2018_Camera_Ready.pdf' 2024-01-19 02:41:03,381 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/docs/README.md' 2024-01-19 02:41:03,382 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/README.md' 2024-01-19 02:41:03,383 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async/bsg_launch_sync_sync.v' 2024-01-19 02:41:03,384 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_async/bsg_sync_sync.v' 2024-01-19 02:41:03,384 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/README.verification' 2024-01-19 02:41:03,385 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_clk_gen_osc.v' 2024-01-19 02:41:03,386 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v' 2024-01-19 02:41:03,386 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v' 2024-01-19 02:41:03,387 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v' 2024-01-19 02:41:03,387 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/Makefile' 2024-01-19 02:41:03,388 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/fo4.sp' 2024-01-19 02:41:03,388 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/io.sp' 2024-01-19 02:41:03,389 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/osc.sp' 2024-01-19 02:41:03,390 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/setup.14.sp' 2024-01-19 02:41:03,390 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_clk_gen/spice/setup.sx' 2024-01-19 02:41:03,391 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/bsg_link_isdr_phy.v' 2024-01-19 02:41:03,391 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/bsg_link_osdr_phy.v' 2024-01-19 02:41:03,392 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl/bsg_link_ddr.constraints.tcl' 2024-01-19 02:41:03,393 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_link/tcl/bsg_link_sdr.constraints.tcl' 2024-01-19 02:41:03,393 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1r1w_sync.v' 2024-01-19 02:41:03,394 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1r1w_sync_macros.vh' 2024-01-19 02:41:03,394 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync.v' 2024-01-19 02:41:03,395 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_macros.vh' 2024-01-19 02:41:03,395 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-01-19 02:41:03,396 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_bit_macros.vh' 2024-01-19 02:41:03,397 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v' 2024-01-19 02:41:03,397 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_1rw_sync_mask_write_byte_macros.vh' 2024-01-19 02:41:03,398 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_2r1w_sync.v' 2024-01-19 02:41:03,398 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_2r1w_sync_macros.vh' 2024-01-19 02:41:03,399 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_3r1w_sync.v' 2024-01-19 02:41:03,399 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_mem_3r1w_sync_macros.vh' 2024-01-19 02:41:03,400 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/bsg_rf_gen.py' 2024-01-19 02:41:03,401 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_dff_gen.py' 2024-01-19 02:41:03,401 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_gate_stack_gen.py' 2024-01-19 02:41:03,402 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux.v' 2024-01-19 02:41:03,403 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_mux_gen.py' 2024-01-19 02:41:03,403 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_reduce_gen.py' 2024-01-19 02:41:03,404 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_tiehi.v' 2024-01-19 02:41:03,404 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/bsg_tielo.v' 2024-01-19 02:41:03,405 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync.v' 2024-01-19 02:41:03,406 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-01-19 02:41:03,406 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v' 2024-01-19 02:41:03,407 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/pickle_40/bsg_mem/bsg_mem_2r1w_sync.v' 2024-01-19 02:41:03,407 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1r1w.v' 2024-01-19 02:41:03,408 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1r1w_sync.v' 2024-01-19 02:41:03,409 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync.v' 2024-01-19 02:41:03,409 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-01-19 02:41:03,410 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v' 2024-01-19 02:41:03,410 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_clkgate_optional.v' 2024-01-19 02:41:03,411 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_dff_gatestack.v' 2024-01-19 02:41:03,411 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_mux2_gatestack.v' 2024-01-19 02:41:03,412 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/saed_90/bsg_misc/bsg_muxi2_gatestack.v' 2024-01-19 02:41:03,413 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async/bsg_launch_sync_sync.v' 2024-01-19 02:41:03,413 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_async/bsg_sync_sync.v' 2024-01-19 02:41:03,414 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v' 2024-01-19 02:41:03,415 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync.v' 2024-01-19 02:41:03,415 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-01-19 02:41:03,416 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v' 2024-01-19 02:41:03,416 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_mem/bsg_mem_2r1w_sync.v' 2024-01-19 02:41:03,417 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_level_shift_up_down_sink.v' 2024-01-19 02:41:03,417 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_level_shift_up_down_source.v' 2024-01-19 02:41:03,418 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_16/bsg_misc/bsg_mux.v' 2024-01-19 02:41:03,419 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/README.verification' 2024-01-19 02:41:03,419 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_clk_gen_osc.v' 2024-01-19 02:41:03,420 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v' 2024-01-19 02:41:03,420 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v' 2024-01-19 02:41:03,421 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v' 2024-01-19 02:41:03,422 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/Makefile' 2024-01-19 02:41:03,423 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/io.sp' 2024-01-19 02:41:03,423 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/osc.sp' 2024-01-19 02:41:03,424 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.180.sp' 2024-01-19 02:41:03,424 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.250.sp' 2024-01-19 02:41:03,425 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_clk_gen/spice/setup.sx' 2024-01-19 02:41:03,425 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_datapath.v' 2024-01-19 02:41:03,426 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/bsg_fifo_shift_gen.py' 2024-01-19 02:41:03,427 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1r1w.v' 2024-01-19 02:41:03,427 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v' 2024-01-19 02:41:03,428 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync.v' 2024-01-19 02:41:03,429 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-01-19 02:41:03,429 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v' 2024-01-19 02:41:03,430 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_2r1w.v' 2024-01-19 02:41:03,430 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_mem_2r1w_sync.v' 2024-01-19 02:41:03,431 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/bsg_rf_gen.py' 2024-01-19 02:41:03,432 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_and.v' 2024-01-19 02:41:03,432 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_buf.v' 2024-01-19 02:41:03,433 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_clkbuf.v' 2024-01-19 02:41:03,433 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff.v' 2024-01-19 02:41:03,434 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_en.v' 2024-01-19 02:41:03,435 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_gen.py' 2024-01-19 02:41:03,435 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_reset.v' 2024-01-19 02:41:03,436 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_dff_reset_en.v' 2024-01-19 02:41:03,436 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_gate_stack_gen.py' 2024-01-19 02:41:03,437 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_inv.v' 2024-01-19 02:41:03,438 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux.v' 2024-01-19 02:41:03,438 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_gen.py' 2024-01-19 02:41:03,439 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mux_one_hot.v' 2024-01-19 02:41:03,439 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_nand.v' 2024-01-19 02:41:03,440 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_nor3.v' 2024-01-19 02:41:03,440 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce.v' 2024-01-19 02:41:03,441 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_reduce_gen.py' 2024-01-19 02:41:03,441 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_tiehi.v' 2024-01-19 02:41:03,442 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_tielo.v' 2024-01-19 02:41:03,442 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_xnor.v' 2024-01-19 02:41:03,443 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_xor.v' 2024-01-19 02:41:03,444 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/README' 2024-01-19 02:41:03,444 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_and_csa_gen.py' 2024-01-19 02:41:03,445 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py' 2024-01-19 02:41:03,446 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py' 2024-01-19 02:41:03,446 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py' 2024-01-19 02:41:03,447 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_comp42_gen.py' 2024-01-19 02:41:03,448 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_and_csa_block_hard.v' 2024-01-19 02:41:03,448 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v' 2024-01-19 02:41:03,449 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v' 2024-01-19 02:41:03,449 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/bsg_place_mul_32_32.tcl' 2024-01-19 02:41:03,450 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/README.verification' 2024-01-19 02:41:03,451 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_clk_gen_osc.v' 2024-01-19 02:41:03,451 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_dly_line.v' 2024-01-19 02:41:03,452 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_atomic_delay_tuner.v' 2024-01-19 02:41:03,452 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_coarse_delay_tuner.v' 2024-01-19 02:41:03,453 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/bsg_rp_clk_gen_fine_delay_tuner.v' 2024-01-19 02:41:03,454 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/Makefile' 2024-01-19 02:41:03,454 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/fo4.sp' 2024-01-19 02:41:03,455 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/io.sp' 2024-01-19 02:41:03,455 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/osc.sp' 2024-01-19 02:41:03,456 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.180.sp' 2024-01-19 02:41:03,456 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.250.sp' 2024-01-19 02:41:03,457 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.40.sp' 2024-01-19 02:41:03,458 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_clk_gen/spice/setup.sx' 2024-01-19 02:41:03,458 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w.v' 2024-01-19 02:41:03,459 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w_sync.v' 2024-01-19 02:41:03,460 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1r1w_sync_mask_write_bit.v' 2024-01-19 02:41:03,460 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync.v' 2024-01-19 02:41:03,461 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-01-19 02:41:03,461 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_1rw_sync_mask_write_byte.v' 2024-01-19 02:41:03,462 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_2r1w.v' 2024-01-19 02:41:03,462 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_mem_2r1w_sync.v' 2024-01-19 02:41:03,463 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/bsg_rf_gen.py' 2024-01-19 02:41:03,464 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_and.v' 2024-01-19 02:41:03,465 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_buf.v' 2024-01-19 02:41:03,465 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_clkbuf.v' 2024-01-19 02:41:03,466 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff.v' 2024-01-19 02:41:03,466 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_en.v' 2024-01-19 02:41:03,467 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_gen.py' 2024-01-19 02:41:03,467 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_reset.v' 2024-01-19 02:41:03,468 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_dff_reset_en.v' 2024-01-19 02:41:03,469 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_gate_stack_gen.py' 2024-01-19 02:41:03,469 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_inv.v' 2024-01-19 02:41:03,470 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux.v' 2024-01-19 02:41:03,470 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_bitwise.v' 2024-01-19 02:41:03,471 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_gen.py' 2024-01-19 02:41:03,472 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mux_one_hot.v' 2024-01-19 02:41:03,472 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_nand.v' 2024-01-19 02:41:03,473 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_nor3.v' 2024-01-19 02:41:03,473 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce.v' 2024-01-19 02:41:03,474 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_reduce_gen.py' 2024-01-19 02:41:03,474 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_tiehi.v' 2024-01-19 02:41:03,474 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_tielo.v' 2024-01-19 02:41:03,475 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_xnor.v' 2024-01-19 02:41:03,475 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_xor.v' 2024-01-19 02:41:03,475 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/README' 2024-01-19 02:41:03,476 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_and_csa_gen.py' 2024-01-19 02:41:03,476 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen.py' 2024-01-19 02:41:03,476 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_cornice.py' 2024-01-19 02:41:03,477 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_booth_4_block_gen_end_cornice.py' 2024-01-19 02:41:03,477 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_comp42_gen.py' 2024-01-19 02:41:03,477 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_and_csa_block_hard.v' 2024-01-19 02:41:03,478 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_booth_4_block_rep.v' 2024-01-19 02:41:03,478 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_mul_comp42_rep.v' 2024-01-19 02:41:03,478 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/bsg_place_mul_32_32.tcl' 2024-01-19 02:41:03,479 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_mem/bsg_mem_1rw_sync_mask_write_bit.v' 2024-01-19 02:41:03,479 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/ultrascale_plus/bsg_misc/bsg_mul_add_unsigned.v' 2024-01-19 02:41:03,479 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/imports/Makefile' 2024-01-19 02:41:03,480 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/.gitignore' 2024-01-19 02:41:03,480 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/Makefile.sim' 2024-01-19 02:41:03,480 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/.gitignore' 2024-01-19 02:41:03,481 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/Makefile' 2024-01-19 02:41:03,481 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/README.md' 2024-01-19 02:41:03,481 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/axe_trace_gen.py' 2024-01-19 02:41:03,482 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/sv.include' 2024-01-19 02:41:03,482 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/testbench.v' 2024-01-19 02:41:03,482 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/wave.tcl' 2024-01-19 02:41:03,483 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/.gitignore' 2024-01-19 02:41:03,483 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/basic_checker_32.v' 2024-01-19 02:41:03,483 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_cache_trace_gen.py' 2024-01-19 02:41:03,483 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_dma_model.v' 2024-01-19 02:41:03,484 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_non_blocking_dma_model.v' 2024-01-19 02:41:03,484 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/bsg_nonsynth_random_yumi_gen.v' 2024-01-19 02:41:03,485 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/.gitignore' 2024-01-19 02:41:03,485 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/Makefile' 2024-01-19 02:41:03,485 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/bsg_test_master.v' 2024-01-19 02:41:03,485 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/bsg_trace_rom.v' 2024-01-19 02:41:03,486 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/dmc_trace_gen.py' 2024-01-19 02:41:03,486 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/sv.include' 2024-01-19 02:41:03,486 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/testbench.v' 2024-01-19 02:41:03,487 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/wave.tcl' 2024-01-19 02:41:03,487 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/.gitignore' 2024-01-19 02:41:03,487 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/Makefile' 2024-01-19 02:41:03,488 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/README.md' 2024-01-19 02:41:03,488 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/lru_stats.v' 2024-01-19 02:41:03,488 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/sv.include' 2024-01-19 02:41:03,489 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/sweep.sh' 2024-01-19 02:41:03,489 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_base.py' 2024-01-19 02:41:03,489 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock1.py' 2024-01-19 02:41:03,489 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock2.py' 2024-01-19 02:41:03,490 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiset.py' 2024-01-19 02:41:03,490 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/test_lock_multiway.py' 2024-01-19 02:41:03,490 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/testbench.v' 2024-01-19 02:41:03,491 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/Makefile' 2024-01-19 02:41:03,491 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/README.md' 2024-01-19 02:41:03,491 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/bsg_test_node_master.v' 2024-01-19 02:41:03,492 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/sv.include' 2024-01-19 02:41:03,492 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/manycore_dram/testbench.v' 2024-01-19 02:41:03,492 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/.gitignore' 2024-01-19 02:41:03,493 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/Makefile' 2024-01-19 02:41:03,493 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/README.md' 2024-01-19 02:41:03,493 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/sv.include' 2024-01-19 02:41:03,494 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/testbench.v' 2024-01-19 02:41:03,494 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way2.py' 2024-01-19 02:41:03,494 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way4.py' 2024-01-19 02:41:03,495 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/trace_way8.py' 2024-01-19 02:41:03,495 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/.gitignore' 2024-01-19 02:41:03,495 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/Makefile' 2024-01-19 02:41:03,496 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/README.md' 2024-01-19 02:41:03,496 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/basic_checker.v' 2024-01-19 02:41:03,496 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/sv.include' 2024-01-19 02:41:03,497 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic1.py' 2024-01-19 02:41:03,497 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic2.py' 2024-01-19 02:41:03,497 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic3.py' 2024-01-19 02:41:03,497 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_atomic4.py' 2024-01-19 02:41:03,498 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_base.py' 2024-01-19 02:41:03,498 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_mask1.py' 2024-01-19 02:41:03,498 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random1.py' 2024-01-19 02:41:03,499 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random2.py' 2024-01-19 02:41:03,499 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_random3.py' 2024-01-19 02:41:03,499 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride1.py' 2024-01-19 02:41:03,500 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/test_stride2.py' 2024-01-19 02:41:03,500 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/testbench.v' 2024-01-19 02:41:03,500 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/width_sweep.sh' 2024-01-19 02:41:03,501 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/.gitignore' 2024-01-19 02:41:03,501 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/Makefile' 2024-01-19 02:41:03,501 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/README.md' 2024-01-19 02:41:03,501 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/ainv_checker.v' 2024-01-19 02:41:03,502 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/basic_checker.v' 2024-01-19 02:41:03,502 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/block_ld_checker.v' 2024-01-19 02:41:03,502 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/bsg_cache_non_blocking_trace_gen.py' 2024-01-19 02:41:03,503 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov.tcl' 2024-01-19 02:41:03,503 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_mhu.v' 2024-01-19 02:41:03,503 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_miss_fifo.v' 2024-01-19 02:41:03,504 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_tl_stage.v' 2024-01-19 02:41:03,504 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/cov_top.v' 2024-01-19 02:41:03,504 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/exclusion.el' 2024-01-19 02:41:03,504 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/sv.include' 2024-01-19 02:41:03,505 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/tag_checker.v' 2024-01-19 02:41:03,505 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ainv.py' 2024-01-19 02:41:03,505 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_alock.py' 2024-01-19 02:41:03,506 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_base.py' 2024-01-19 02:41:03,506 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block.py' 2024-01-19 02:41:03,506 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld.py' 2024-01-19 02:41:03,506 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld2.py' 2024-01-19 02:41:03,507 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_block_ld3.py' 2024-01-19 02:41:03,507 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_burst.py' 2024-01-19 02:41:03,507 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_byte.py' 2024-01-19 02:41:03,508 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_clean_read.py' 2024-01-19 02:41:03,508 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock.py' 2024-01-19 02:41:03,508 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_invalid_lock2.py' 2024-01-19 02:41:03,509 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_ld_st.py' 2024-01-19 02:41:03,509 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_linear.py' 2024-01-19 02:41:03,509 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_long_interval.py' 2024-01-19 02:41:03,509 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_miss_fifo_cov.py' 2024-01-19 02:41:03,510 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_pe_cover.py' 2024-01-19 02:41:03,510 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random.py' 2024-01-19 02:41:03,510 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_afl.py' 2024-01-19 02:41:03,511 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv.py' 2024-01-19 02:41:03,511 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_aflinv2.py' 2024-01-19 02:41:03,511 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_flush.py' 2024-01-19 02:41:03,511 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_random_tagfl.py' 2024-01-19 02:41:03,512 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_square.py' 2024-01-19 02:41:03,512 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_stride.py' 2024-01-19 02:41:03,512 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_tag_access.py' 2024-01-19 02:41:03,513 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/test_zorder.py' 2024-01-19 02:41:03,513 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/testbench.v' 2024-01-19 02:41:03,513 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/.gitignore' 2024-01-19 02:41:03,514 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/Makefile' 2024-01-19 02:41:03,514 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/sv.include' 2024-01-19 02:41:03,514 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/sweep.sh' 2024-01-19 02:41:03,514 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_aflinv1.py' 2024-01-19 02:41:03,515 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_alock1.py' 2024-01-19 02:41:03,515 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic1.py' 2024-01-19 02:41:03,515 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic2.py' 2024-01-19 02:41:03,516 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic3.py' 2024-01-19 02:41:03,516 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_atomic4.py' 2024-01-19 02:41:03,516 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_base.py' 2024-01-19 02:41:03,517 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_block1.py' 2024-01-19 02:41:03,517 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte1.py' 2024-01-19 02:41:03,517 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte2.py' 2024-01-19 02:41:03,517 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_byte3.py' 2024-01-19 02:41:03,518 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_mask1.py' 2024-01-19 02:41:03,518 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random1.py' 2024-01-19 02:41:03,518 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_random2.py' 2024-01-19 02:41:03,519 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer1.py' 2024-01-19 02:41:03,519 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer2.py' 2024-01-19 02:41:03,519 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_buffer3.py' 2024-01-19 02:41:03,520 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load.py' 2024-01-19 02:41:03,520 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_store_load2.py' 2024-01-19 02:41:03,520 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_stride1.py' 2024-01-19 02:41:03,520 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/test_tagfl1.py' 2024-01-19 02:41:03,521 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/testbench.v' 2024-01-19 02:41:03,521 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/Makefile' 2024-01-19 02:41:03,521 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/README.md' 2024-01-19 02:41:03,522 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/bsg_nonsynth_clk_gen_tester.v' 2024-01-19 02:41:03,522 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_clk_gen/test_bsg.v' 2024-01-19 02:41:03,523 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow/Makefile' 2024-01-19 02:41:03,523 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_narrow/test_bsg.v' 2024-01-19 02:41:03,523 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel/Makefile' 2024-01-19 02:41:03,524 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_channel_tunnel/test_bsg.v' 2024-01-19 02:41:03,524 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap/Makefile' 2024-01-19 02:41:03,524 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_compare_and_swap/test_bsg.v' 2024-01-19 02:41:03,525 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large/Makefile' 2024-01-19 02:41:03,525 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_large/test_bsg_fifo_1r1w_large.v' 2024-01-19 02:41:03,526 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/Makefile' 2024-01-19 02:41:03,526 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/bsg_test.v' 2024-01-19 02:41:03,526 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_pseudo_large/test_bsg_fifo_1r1w_pseudo_large.v' 2024-01-19 02:41:03,527 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/Makefile' 2024-01-19 02:41:03,527 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/README' 2024-01-19 02:41:03,527 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/bsg_fifo_1r1w_small_hardened_test_node.v' 2024-01-19 02:41:03,527 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/bsg_fifo_1r1w_small_hardened_tester.v' 2024-01-19 02:41:03,528 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_1r1w_small_hardened/filelist' 2024-01-19 02:41:03,528 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/Makefile' 2024-01-19 02:41:03,528 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/sv.include' 2024-01-19 02:41:03,529 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_bypass/testbench.v' 2024-01-19 02:41:03,529 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/Makefile' 2024-01-19 02:41:03,529 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/remote_node.v' 2024-01-19 02:41:03,530 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/sv.include' 2024-01-19 02:41:03,530 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_fifo_reorder/testbench.v' 2024-01-19 02:41:03,530 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/Makefile' 2024-01-19 02:41:03,531 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/README' 2024-01-19 02:41:03,531 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/bsg_parallel_in_serial_out_test_node.v' 2024-01-19 02:41:03,531 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/bsg_parallel_in_serial_out_tester.v' 2024-01-19 02:41:03,532 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out/filelist' 2024-01-19 02:41:03,532 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/.gitignore' 2024-01-19 02:41:03,532 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/Makefile' 2024-01-19 02:41:03,533 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/sv.include' 2024-01-19 02:41:03,533 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough/testbench.v' 2024-01-19 02:41:03,533 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/.gitignore' 2024-01-19 02:41:03,533 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/Makefile' 2024-01-19 02:41:03,534 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/sv.include' 2024-01-19 02:41:03,534 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_parallel_in_serial_out_passthrough_arb/testbench.v' 2024-01-19 02:41:03,534 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/.gitignore' 2024-01-19 02:41:03,535 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/Makefile' 2024-01-19 02:41:03,535 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/sv.include' 2024-01-19 02:41:03,535 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dataflow/bsg_serial_in_parallel_out_passthrough/testbench.v' 2024-01-19 02:41:03,536 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/Makefile' 2024-01-19 02:41:03,536 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/filelist.lst' 2024-01-19 02:41:03,536 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/tasks.v' 2024-01-19 02:41:03,537 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/testbench.v' 2024-01-19 02:41:03,537 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/1024Mb_mobile_ddr_parameters.vh' 2024-01-19 02:41:03,538 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/128Mb_mobile_ddr_parameters.vh' 2024-01-19 02:41:03,538 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/2048Mb_mobile_ddr_parameters.vh' 2024-01-19 02:41:03,538 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/256Mb_mobile_ddr_parameters.vh' 2024-01-19 02:41:03,539 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/512Mb_mobile_ddr_parameters.vh' 2024-01-19 02:41:03,539 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/mobile_ddr.v' 2024-01-19 02:41:03,540 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/mobile_ddr_mcp.v' 2024-01-19 02:41:03,541 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/readme.txt' 2024-01-19 02:41:03,541 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/subtest.vh' 2024-01-19 02:41:03,541 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/tb.do' 2024-01-19 02:41:03,542 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_dmc/lpddr_verilog_model/tb.v' 2024-01-19 02:41:03,542 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/.gitignore' 2024-01-19 02:41:03,542 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/Makefile' 2024-01-19 02:41:03,543 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/add_sub_32.c' 2024-01-19 02:41:03,543 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/rtl_sv.include' 2024-01-19 02:41:03,543 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/synth_sv.include' 2024-01-19 02:41:03,544 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/add_sub_32/testbench.v' 2024-01-19 02:41:03,544 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/Makefile' 2024-01-19 02:41:03,544 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/sv.include' 2024-01-19 02:41:03,545 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/bsg_fpu_sticky/testbench.v' 2024-01-19 02:41:03,545 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/Makefile' 2024-01-19 02:41:03,545 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/cmp_32.c' 2024-01-19 02:41:03,545 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/rtl_sv.include' 2024-01-19 02:41:03,546 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/synth_sv.include' 2024-01-19 02:41:03,546 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/cmp_32/testbench.v' 2024-01-19 02:41:03,546 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common/fpu_common.c' 2024-01-19 02:41:03,547 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/common/fpu_common.h' 2024-01-19 02:41:03,547 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/Makefile' 2024-01-19 02:41:03,547 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/f2i_32.c' 2024-01-19 02:41:03,548 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/rtl_sv.include' 2024-01-19 02:41:03,548 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/synth_sv.include' 2024-01-19 02:41:03,548 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/f2i_32/testbench.v' 2024-01-19 02:41:03,549 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/Makefile' 2024-01-19 02:41:03,549 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/i2f_32.c' 2024-01-19 02:41:03,549 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/rtl_sv.include' 2024-01-19 02:41:03,549 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/synth_sv.include' 2024-01-19 02:41:03,550 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/i2f_32/testbench.v' 2024-01-19 02:41:03,550 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/Makefile' 2024-01-19 02:41:03,550 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/mul_32.c' 2024-01-19 02:41:03,551 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/rtl_sv.include' 2024-01-19 02:41:03,551 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/synth_sv.include' 2024-01-19 02:41:03,551 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_fpu/mul_32/testbench.v' 2024-01-19 02:41:03,552 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/Makefile' 2024-01-19 02:41:03,552 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/README' 2024-01-19 02:41:03,552 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_downstream_encode.v' 2024-01-19 02:41:03,553 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_test_node.v' 2024-01-19 02:41:03,553 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_ddr_tester.v' 2024-01-19 02:41:03,553 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/filelist' 2024-01-19 02:41:03,554 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/Makefile' 2024-01-19 02:41:03,554 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/bsg_link_sdr_test_node.v' 2024-01-19 02:41:03,554 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/bsg_link_sdr_tester.v' 2024-01-19 02:41:03,555 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_link/bsg_link_sdr/filelist' 2024-01-19 02:41:03,555 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/.gitignore' 2024-01-19 02:41:03,555 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/Makefile' 2024-01-19 02:41:03,556 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/sv.include' 2024-01-19 02:41:03,556 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync/testbench.v' 2024-01-19 02:41:03,556 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/.gitignore' 2024-01-19 02:41:03,556 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/Makefile' 2024-01-19 02:41:03,557 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/sv.include' 2024-01-19 02:41:03,557 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_cam_1r1w_sync_unmanaged/testbench.v' 2024-01-19 02:41:03,558 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w/Makefile' 2024-01-19 02:41:03,558 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1r1w/test_bsg.v' 2024-01-19 02:41:03,558 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync/Makefile' 2024-01-19 02:41:03,559 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_1rw_sync/test_bsg.v' 2024-01-19 02:41:03,559 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w/Makefile' 2024-01-19 02:41:03,559 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_2r1w/test_bsg.v' 2024-01-19 02:41:03,560 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar/Makefile' 2024-01-19 02:41:03,560 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_mem_banked_crossbar/test_bsg.v' 2024-01-19 02:41:03,560 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/.gitignore' 2024-01-19 02:41:03,561 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/Makefile' 2024-01-19 02:41:03,561 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/testbench.v' 2024-01-19 02:41:03,562 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/tracegen.py' 2024-01-19 02:41:03,562 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/test_bsg.cpp' 2024-01-19 02:41:03,562 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_adder_one_hot/test_bsg.v' 2024-01-19 02:41:03,563 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_arb_round_robin/test.v' 2024-01-19 02:41:03,563 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray/Makefile' 2024-01-19 02:41:03,563 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_binary_plus_one_to_gray/test_bsg.v' 2024-01-19 02:41:03,564 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample/Makefile' 2024-01-19 02:41:03,564 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_clock_downsample/test_bsg.v' 2024-01-19 02:41:03,564 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit/Makefile' 2024-01-19 02:41:03,565 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_dynamic_limit/test_bsg.v' 2024-01-19 02:41:03,565 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down/Makefile' 2024-01-19 02:41:03,565 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_counter_up_down/test_bsg.v' 2024-01-19 02:41:03,566 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter/Makefile' 2024-01-19 02:41:03,566 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_cycle_counter/test_bsg.v' 2024-01-19 02:41:03,566 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff/Makefile' 2024-01-19 02:41:03,567 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff/test_bsg.v' 2024-01-19 02:41:03,567 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset/Makefile' 2024-01-19 02:41:03,567 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_dff_en_reset/test_bsg.v' 2024-01-19 02:41:03,568 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/Makefile' 2024-01-19 02:41:03,568 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/another_test.v' 2024-01-19 02:41:03,568 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_encode_one_hot/test_bsg.v' 2024-01-19 02:41:03,569 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary/Makefile' 2024-01-19 02:41:03,569 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_gray_to_binary/test_bsg.v' 2024-01-19 02:41:03,569 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/Makefile' 2024-01-19 02:41:03,570 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/tb.v' 2024-01-19 02:41:03,570 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_hash_bank/verilator_top.cc' 2024-01-19 02:41:03,570 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/Makefile' 2024-01-19 02:41:03,571 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide.files' 2024-01-19 02:41:03,571 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_32.stim' 2024-01-19 02:41:03,571 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_4.stim' 2024-01-19 02:41:03,572 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/divide_64.stim' 2024-01-19 02:41:03,572 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/get_stim.c' 2024-01-19 02:41:03,572 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/mypli.tab' 2024-01-19 02:41:03,572 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/random_stim.py' 2024-01-19 02:41:03,573 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/s_expected.txt' 2024-01-19 02:41:03,573 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/signed.c' 2024-01-19 02:41:03,573 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/test_bsg.v' 2024-01-19 02:41:03,574 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/u_expected.txt' 2024-01-19 02:41:03,574 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/unsigned.c' 2024-01-19 02:41:03,574 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/Makefile' 2024-01-19 02:41:03,574 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/filelist.f' 2024-01-19 02:41:03,575 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_imul_iterative/test_bsg.v' 2024-01-19 02:41:03,575 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/Makefile' 2024-01-19 02:41:03,575 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/sv.include' 2024-01-19 02:41:03,576 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_backup/testbench.v' 2024-01-19 02:41:03,576 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/Makefile' 2024-01-19 02:41:03,576 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/sv.include' 2024-01-19 02:41:03,577 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_decode/testbench.v' 2024-01-19 02:41:03,577 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/Makefile' 2024-01-19 02:41:03,577 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/sv.include' 2024-01-19 02:41:03,578 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_lru_pseudo_tree_encode/testbench.v' 2024-01-19 02:41:03,578 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul/Makefile' 2024-01-19 02:41:03,578 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mul/test_bsg.v' 2024-01-19 02:41:03,579 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux/Makefile' 2024-01-19 02:41:03,579 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux/test_bsg.v' 2024-01-19 02:41:03,579 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise/Makefile' 2024-01-19 02:41:03,580 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_bitwise/test_bsg.v' 2024-01-19 02:41:03,580 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot/Makefile' 2024-01-19 02:41:03,580 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_mux_one_hot/test_bsg.v' 2024-01-19 02:41:03,581 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_pg_tree/test.v' 2024-01-19 02:41:03,581 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount/Makefile' 2024-01-19 02:41:03,581 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_popcount/test_bsg.v' 2024-01-19 02:41:03,582 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/Makefile' 2024-01-19 02:41:03,582 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/sv.include' 2024-01-19 02:41:03,582 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_priority_encode/testbench.v' 2024-01-19 02:41:03,583 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_rotate_left/main.v' 2024-01-19 02:41:03,583 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb/Makefile' 2024-01-19 02:41:03,583 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_arb/test_bsg.v' 2024-01-19 02:41:03,584 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb/Makefile' 2024-01-19 02:41:03,584 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_round_robin_reset_arb/test_bsg.v' 2024-01-19 02:41:03,584 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan/Makefile' 2024-01-19 02:41:03,585 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_scan/test_bsg.v' 2024-01-19 02:41:03,585 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe/Makefile' 2024-01-19 02:41:03,585 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_strobe/test_bsg.v' 2024-01-19 02:41:03,586 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count/Makefile' 2024-01-19 02:41:03,586 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_thermometer_count/test_bsg.v' 2024-01-19 02:41:03,586 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset/Makefile' 2024-01-19 02:41:03,587 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_after_reset/test_bsg.v' 2024-01-19 02:41:03,587 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles/Makefile' 2024-01-19 02:41:03,587 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_wait_cycles/test_bsg.v' 2024-01-19 02:41:03,588 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/Makefile' 2024-01-19 02:41:03,588 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/sv.include' 2024-01-19 02:41:03,588 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mux_test/testbench.v' 2024-01-19 02:41:03,589 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_barrier/test.v' 2024-01-19 02:41:03,589 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/Makefile' 2024-01-19 02:41:03,589 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/test_bsg.v' 2024-01-19 02:41:03,590 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/Makefile' 2024-01-19 02:41:03,590 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/README.md' 2024-01-19 02:41:03,590 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/sv.include' 2024-01-19 02:41:03,591 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test.sh' 2024-01-19 02:41:03,591 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test_pkg.v' 2024-01-19 02:41:03,591 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/test_tile.v' 2024-01-19 02:41:03,592 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_router/all_to_all/testbench.v' 2024-01-19 02:41:03,592 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_mesh_to_ring_stitch/test_mesh_to_ring_stitch.v' 2024-01-19 02:41:03,592 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/Makefile' 2024-01-19 02:41:03,593 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/README' 2024-01-19 02:41:03,593 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/bsg_wormhole_concentrator_test_node.v' 2024-01-19 02:41:03,593 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/bsg_wormhole_concentrator_tester.v' 2024-01-19 02:41:03,594 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_concentrator/filelist' 2024-01-19 02:41:03,594 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/Makefile' 2024-01-19 02:41:03,594 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/README' 2024-01-19 02:41:03,595 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_test_node_client.v' 2024-01-19 02:41:03,595 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_test_node_master.v' 2024-01-19 02:41:03,595 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/bsg_wormhole_network_tester.v' 2024-01-19 02:41:03,596 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_network/filelist' 2024-01-19 02:41:03,596 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/Makefile' 2024-01-19 02:41:03,596 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/README' 2024-01-19 02:41:03,597 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/bsg_wormhole_router_test_node.v' 2024-01-19 02:41:03,597 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/bsg_wormhole_router_tester.v' 2024-01-19 02:41:03,598 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router/filelist' 2024-01-19 02:41:03,598 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/Makefile' 2024-01-19 02:41:03,599 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/bsg_trace_rom.v' 2024-01-19 02:41:03,599 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/sv.include' 2024-01-19 02:41:03,599 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_in/testbench.v' 2024-01-19 02:41:03,600 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/Makefile' 2024-01-19 02:41:03,600 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/bsg_trace_rom.v' 2024-01-19 02:41:03,600 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/sv.include' 2024-01-19 02:41:03,600 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_noc/bsg_wormhole_router_adapter_out/testbench.v' 2024-01-19 02:41:03,601 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag/Makefile' 2024-01-19 02:41:03,601 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_tag/test_bsg.v' 2024-01-19 02:41:03,601 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/Makefile' 2024-01-19 02:41:03,602 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/main.cpp' 2024-01-19 02:41:03,602 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi/top.v' 2024-01-19 02:41:03,603 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/Makefile' 2024-01-19 02:41:03,603 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/main.cpp' 2024-01-19 02:41:03,603 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dpi_rom/top.v' 2024-01-19 02:41:03,603 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/.gitignore' 2024-01-19 02:41:03,604 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/Makefile' 2024-01-19 02:41:03,604 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/hbm_trace_gen.py' 2024-01-19 02:41:03,604 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/latency.py' 2024-01-19 02:41:03,605 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/sv.include' 2024-01-19 02:41:03,605 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/testbench.v' 2024-01-19 02:41:03,605 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/testbench_multi.v' 2024-01-19 02:41:03,606 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/.gitignore' 2024-01-19 02:41:03,606 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/Makefile' 2024-01-19 02:41:03,606 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/hbm_trace_gen.py' 2024-01-19 02:41:03,607 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/latency.py' 2024-01-19 02:41:03,607 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/sv.include' 2024-01-19 02:41:03,607 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/testbench.v' 2024-01-19 02:41:03,608 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen/Makefile' 2024-01-19 02:41:03,608 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_random_gen/test_bsg.v' 2024-01-19 02:41:03,608 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/Makefile' 2024-01-19 02:41:03,608 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/dut.v' 2024-01-19 02:41:03,609 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/response.trace.out' 2024-01-19 02:41:03,609 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/stimulus.trace.in' 2024-01-19 02:41:03,609 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_trace_replay/test_bench.v' 2024-01-19 02:41:03,610 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/.gitignore' 2024-01-19 02:41:03,610 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/Makefile' 2024-01-19 02:41:03,610 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/constrained_random.py' 2024-01-19 02:41:03,611 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/random_full.py' 2024-01-19 02:41:03,611 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/test_reorder.py' 2024-01-19 02:41:03,611 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/testbench.v' 2024-01-19 02:41:03,611 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/trace_gen_base.py' 2024-01-19 02:41:03,612 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit.py' 2024-01-19 02:41:03,612 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load.py' 2024-01-19 02:41:03,612 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/unit_load_conflict.py' 2024-01-19 02:41:03,613 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/.gitignore' 2024-01-19 02:41:03,613 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/Makefile' 2024-01-19 02:41:03,613 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/cache_miss_counter.v' 2024-01-19 02:41:03,614 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/const_random.py' 2024-01-19 02:41:03,614 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/full_random.py' 2024-01-19 02:41:03,614 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/miss_latency.py' 2024-01-19 02:41:03,614 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_read.py' 2024-01-19 02:41:03,615 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/stream_write.py' 2024-01-19 02:41:03,615 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/testbench.v' 2024-01-19 02:41:03,615 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/trace_gen.py' 2024-01-19 02:41:03,616 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/vcache_blocking.v' 2024-01-19 02:41:03,616 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/ramulator_hbm_bandwidth/.gitignore' 2024-01-19 02:41:03,616 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/black-parrot/tools/Makefile.common' 2024-01-19 02:41:03,617 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/bp_litex/bp2wb_convertor.v' 2024-01-19 02:41:03,617 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/bp_litex/cce_ucode.mem' 2024-01-19 02:41:03,618 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/bp_litex/flist.fpga' 2024-01-19 02:41:03,618 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/bp_litex/flist.verilator' 2024-01-19 02:41:03,618 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/bp_litex/fpga/ExampleBlackParrotSystem.v' 2024-01-19 02:41:03,619 wheel INFO adding 'pythondata_cpu_blackparrot/system_verilog/bp_litex/simulation/ExampleBlackParrotSystem.v' 2024-01-19 02:41:03,619 wheel INFO adding 'pythondata_cpu_blackparrot-0.0.post1817.dist-info/LICENSE' 2024-01-19 02:41:03,620 wheel INFO adding 'pythondata_cpu_blackparrot-0.0.post1817.dist-info/METADATA' 2024-01-19 02:41:03,620 wheel INFO adding 'pythondata_cpu_blackparrot-0.0.post1817.dist-info/WHEEL' 2024-01-19 02:41:03,620 wheel INFO adding 'pythondata_cpu_blackparrot-0.0.post1817.dist-info/top_level.txt' 2024-01-19 02:41:03,632 wheel INFO adding 'pythondata_cpu_blackparrot-0.0.post1817.dist-info/RECORD' 2024-01-19 02:41:03,639 wheel INFO removing build/bdist.linux-x86_64/wheel 2024-01-19 02:41:03,698 gpep517 INFO The backend produced dist/pythondata_cpu_blackparrot-0.0.post1817-py3-none-any.whl pythondata_cpu_blackparrot-0.0.post1817-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-cv32e40p 2024-01-19 02:41:03,970 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 02:41:04,018 root INFO running bdist_wheel 2024-01-19 02:41:04,040 root INFO running build 2024-01-19 02:41:04,040 root INFO running build_py 2024-01-19 02:41:04,043 root INFO creating build 2024-01-19 02:41:04,044 root INFO creating build/lib 2024-01-19 02:41:04,044 root INFO creating build/lib/pythondata_cpu_cv32e40p 2024-01-19 02:41:04,044 root INFO copying pythondata_cpu_cv32e40p/__init__.py -> build/lib/pythondata_cpu_cv32e40p 2024-01-19 02:41:04,045 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,045 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 02:41:04,046 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/rv32tests-to-junit.py -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 02:41:04,046 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/openocd-to-junit.py -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 02:41:04,049 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb 2024-01-19 02:41:04,049 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,049 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,049 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/makehex.py -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,053 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,053 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 02:41:04,053 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 02:41:04,053 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/gen_rom.py -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 02:41:04,054 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 02:41:04,054 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/openocd-to-junit.py -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 02:41:04,060 root INFO running egg_info 2024-01-19 02:41:04,060 root INFO creating pythondata_cpu_cv32e40p.egg-info 2024-01-19 02:41:04,062 root INFO writing pythondata_cpu_cv32e40p.egg-info/PKG-INFO 2024-01-19 02:41:04,062 root INFO writing dependency_links to pythondata_cpu_cv32e40p.egg-info/dependency_links.txt 2024-01-19 02:41:04,063 root INFO writing top-level names to pythondata_cpu_cv32e40p.egg-info/top_level.txt 2024-01-19 02:41:04,063 root INFO writing manifest file 'pythondata_cpu_cv32e40p.egg-info/SOURCES.txt' 2024-01-19 02:41:04,085 root INFO reading manifest file 'pythondata_cpu_cv32e40p.egg-info/SOURCES.txt' 2024-01-19 02:41:04,085 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 02:41:04,102 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 02:41:04,102 root INFO adding license file 'LICENSE' 2024-01-19 02:41:04,113 root INFO writing manifest file 'pythondata_cpu_cv32e40p.egg-info/SOURCES.txt' 2024-01-19 02:41:04,147 root INFO copying pythondata_cpu_cv32e40p/system_verilog/.dir-locals.el -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,148 root INFO copying pythondata_cpu_cv32e40p/system_verilog/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,148 root INFO copying pythondata_cpu_cv32e40p/system_verilog/.gitlab-ci.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,148 root INFO copying pythondata_cpu_cv32e40p/system_verilog/.gitmodules -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,149 root INFO copying pythondata_cpu_cv32e40p/system_verilog/.travis.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,149 root INFO copying pythondata_cpu_cv32e40p/system_verilog/Bender.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,149 root INFO copying pythondata_cpu_cv32e40p/system_verilog/CONTRIBUTING.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,150 root INFO copying pythondata_cpu_cv32e40p/system_verilog/LICENSE -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,150 root INFO copying pythondata_cpu_cv32e40p/system_verilog/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,151 root INFO copying pythondata_cpu_cv32e40p/system_verilog/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,151 root INFO copying pythondata_cpu_cv32e40p/system_verilog/cv32e40p_dm_manifest.flist -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,151 root INFO copying pythondata_cpu_cv32e40p/system_verilog/cv32e40p_fpu_manifest.flist -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,152 root INFO copying pythondata_cpu_cv32e40p/system_verilog/cv32e40p_manifest.flist -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,152 root INFO copying pythondata_cpu_cv32e40p/system_verilog/cv32e40p_trace_manifest.flist -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,152 root INFO copying pythondata_cpu_cv32e40p/system_verilog/src_files.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,153 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/pd 2024-01-19 02:41:04,153 root INFO copying pythondata_cpu_cv32e40p/system_verilog/pd/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/pd 2024-01-19 02:41:04,153 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/cv32e40p_sim_clock_gate.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,153 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/register_file_test_wrap.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,154 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_L0_buffer.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,154 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_alu.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,155 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_alu_div.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,155 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_apu_disp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,155 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_compressed_decoder.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,156 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_controller.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,156 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_core.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,157 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_cs_registers.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,157 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_decoder.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,158 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_ex_stage.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,158 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_fetch_fifo.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,159 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_hwloop_controller.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,159 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_hwloop_regs.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,159 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_id_stage.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,160 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_if_stage.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,160 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_int_controller.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,160 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_load_store_unit.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,161 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_mult.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,161 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_pmp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,161 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_prefetch_L0_buffer.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,162 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_prefetch_buffer.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,162 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_register_file.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,162 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_register_file_latch.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,163 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_tracer.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,163 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/doc 2024-01-19 02:41:04,163 root INFO copying pythondata_cpu_cv32e40p/system_verilog/doc/NONSECURED_RI5CY_DEBUG_reference.xlsx -> build/lib/pythondata_cpu_cv32e40p/system_verilog/doc 2024-01-19 02:41:04,164 root INFO copying pythondata_cpu_cv32e40p/system_verilog/doc/SECURED_RI5CY_DEBUG_reference.xlsx -> build/lib/pythondata_cpu_cv32e40p/system_verilog/doc 2024-01-19 02:41:04,164 root INFO copying pythondata_cpu_cv32e40p/system_verilog/doc/user_manual.doc -> build/lib/pythondata_cpu_cv32e40p/system_verilog/doc 2024-01-19 02:41:04,167 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/Jenkinsfile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 02:41:04,168 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/build-riscv-gcc.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 02:41:04,168 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/download-pulp-gcc.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 02:41:04,168 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/get-openocd.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 02:41:04,169 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/install-verilator.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 02:41:04,169 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/make-tmp.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 02:41:04,169 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/run-openocd-compliance.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 02:41:04,170 root INFO copying pythondata_cpu_cv32e40p/system_verilog/ci/veri-run-openocd-compliance.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 02:41:04,170 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 02:41:04,170 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 02:41:04,171 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_div.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 02:41:04,171 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_rem.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 02:41:04,171 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_udiv.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 02:41:04,172 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_urem.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 02:41:04,172 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 02:41:04,172 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 02:41:04,172 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_perturbation.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 02:41:04,173 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_random_interrupt_generator.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 02:41:04,173 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_random_stall.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 02:41:04,173 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_simchecker.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 02:41:04,174 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/tb_riscv_core.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 02:41:04,174 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 02:41:04,174 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 02:41:04,175 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 02:41:04,175 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 02:41:04,175 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/dp_ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 02:41:04,176 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 02:41:04,176 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/testbench.cpp -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 02:41:04,176 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/top.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 02:41:04,177 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_MPU 2024-01-19 02:41:04,177 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_MPU/tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_MPU 2024-01-19 02:41:04,177 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/scripts 2024-01-19 02:41:04,178 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/scripts/pulptrace -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/scripts 2024-01-19 02:41:04,178 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,178 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/.clang-format -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,178 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,179 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/LICENSE.Berkeley -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,179 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/LICENSE.SiFive -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,179 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,180 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,180 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/SimJTAG.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,180 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/boot_rom.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,181 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/dp_ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,181 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/mm_ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,181 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/pulpissimo_compliance_test.cfg -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,182 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/pulpissimo_debug.cfg -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,182 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/riscv_tb_pkg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,182 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_test_env.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,182 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,183 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top_verilator.cpp -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,183 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top_verilator.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,183 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/vsim_batch.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,184 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/vsim_gui.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,184 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/waves.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,184 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/.clang-format -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,184 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,185 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,185 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,185 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/amo_shim.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,186 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/dp_ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,186 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/mm_ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,186 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_wrapper.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,187 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/software.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,187 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,187 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top_verilator.cpp -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,187 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top_verilator.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,188 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/vsim.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,188 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/waves.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,188 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 02:41:04,189 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/compile.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 02:41:04,189 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/sim.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 02:41:04,189 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/tb.do -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 02:41:04,189 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/tb_nogui.do -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 02:41:04,190 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/wave.do -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 02:41:04,190 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/include 2024-01-19 02:41:04,190 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/include/perturbation_defines.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/include 2024-01-19 02:41:04,190 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-01-19 02:41:04,191 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/link.ld -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-01-19 02:41:04,191 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/start.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-01-19 02:41:04,191 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/syscalls.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-01-19 02:41:04,191 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/test.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-01-19 02:41:04,192 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 02:41:04,192 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 02:41:04,192 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 02:41:04,193 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/rbs_test.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 02:41:04,193 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/remote_bitbang.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 02:41:04,193 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/remote_bitbang.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 02:41:04,193 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/sim_jtag.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 02:41:04,194 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/unused 2024-01-19 02:41:04,194 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/dm/unused/SimDTM.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/unused 2024-01-19 02:41:04,194 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 02:41:04,194 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/license_notes -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 02:41:04,195 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/link.ld -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 02:41:04,195 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/riscv-isa-sim.diff -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 02:41:04,195 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/start.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 02:41:04,196 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/syscalls.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 02:41:04,196 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-01-19 02:41:04,196 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/LICENSE -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-01-19 02:41:04,196 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-01-19 02:41:04,197 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/riscv_test.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-01-19 02:41:04,197 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-01-19 02:41:04,197 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/interrupt_test.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-01-19 02:41:04,197 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/isr.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-01-19 02:41:04,198 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/matrix.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-01-19 02:41:04,198 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/vectors.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-01-19 02:41:04,198 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 02:41:04,199 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/crt0.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 02:41:04,199 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/hello_world.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 02:41:04,199 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/link.ld -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 02:41:04,199 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/syscalls.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 02:41:04,200 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/vectors.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 02:41:04,200 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,200 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ADD-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,201 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ADDI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,201 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-AND-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,201 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ANDI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,202 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-AUIPC-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,202 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BEQ-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,202 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BGE-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,203 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BGEU-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,203 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BLT-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,203 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BLTU-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,203 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BNE-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,204 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRC-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,204 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRCI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,204 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRS-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,205 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRSI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,205 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRW-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,205 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRWI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,206 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-DELAY_SLOTS-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,206 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-EBREAK-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,206 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ECALL-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,207 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ENDIANESS-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,207 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-FENCE.I-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,207 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-IO.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,207 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-JAL-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,208 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-JALR-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,208 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LB-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,208 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LBU-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,209 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LH-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,209 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LHU-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,209 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LUI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,210 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LW-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,210 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-NOP-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,210 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-OR-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,211 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ORI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,211 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_size-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,211 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_width-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,212 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_x0-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,212 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLL-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,212 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLLI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,213 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLT-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,213 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,213 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTIU-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,214 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTU-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,214 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRA-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,214 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRAI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,215 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRL-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,215 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRLI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,215 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SUB-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,216 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SW-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,216 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-XOR-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,216 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-XORI-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,217 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/aw_test_macros.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,217 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/compliance_io.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,217 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/compliance_test.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,218 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/riscv_test.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,218 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/test_macros.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,218 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp 2024-01-19 02:41:04,218 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp/main.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp 2024-01-19 02:41:04,219 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp/matmulNxN.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp 2024-01-19 02:41:04,219 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/README -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,219 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/firmware.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,220 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/link.ld -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,220 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/multest.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,220 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/print.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,220 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/riscv.ld -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,221 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/riscv.ld.orig -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,221 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/sieve.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,221 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/start.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,222 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/stats.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,222 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,222 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,222 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,223 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fclass.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,223 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcmp.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,224 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcvt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,224 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcvt_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,224 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fdiv.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,224 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fmadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,225 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fmin.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,225 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/ldst.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,225 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/move.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,226 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/recoding.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,226 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/structural.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,226 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,226 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,227 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/add.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,227 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/addi.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,227 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/and.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,228 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/andi.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,228 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/auipc.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,228 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/beq.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,228 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bge.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,229 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bgeu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,229 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/blt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,229 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bltu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,230 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bne.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,230 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/fence_i.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,230 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/jal.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,230 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/jalr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,231 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lb.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,231 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lbu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,231 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lh.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,231 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lhu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,232 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lui.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,232 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,232 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/or.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,233 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/ori.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,233 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sb.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,233 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sh.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,233 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/simple.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,234 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sll.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,234 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slli.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,234 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,235 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slti.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,235 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sltiu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,235 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sltu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,235 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sra.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,236 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srai.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,236 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srl.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,236 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srli.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,237 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sub.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,237 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,237 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/xor.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,237 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/xori.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,238 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 02:41:04,238 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 02:41:04,238 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/csr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 02:41:04,238 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/dirty.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 02:41:04,239 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/ma_fetch.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 02:41:04,239 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/sbreak.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 02:41:04,239 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/scall.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 02:41:04,240 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/wfi.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 02:41:04,240 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,240 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,240 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/add.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,241 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addi.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,241 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addiw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,241 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,242 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/and.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,242 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/andi.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,242 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/auipc.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,242 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/beq.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,243 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bge.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,243 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bgeu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,243 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/blt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,244 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bltu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,244 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bne.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,244 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/fence_i.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,244 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/jal.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,245 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/jalr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,245 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lb.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,245 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lbu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,246 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/ld.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,246 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lh.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,246 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lhu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,246 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lui.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,247 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,247 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lwu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,247 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/or.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,248 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/ori.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,248 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sb.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,248 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,248 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sh.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,249 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/simple.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,249 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sll.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,249 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slli.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,250 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slliw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,250 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sllw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,250 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,251 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slti.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,251 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sltiu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,251 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sltu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,251 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sra.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,252 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srai.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,252 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sraiw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,252 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sraw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,253 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srl.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,253 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srli.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,253 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srliw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,253 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srlw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,254 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sub.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,254 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/subw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,254 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,255 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/xor.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,255 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/xori.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,255 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,255 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,256 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoadd_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,256 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoadd_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,256 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoand_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,257 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoand_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,257 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomax_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,257 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomax_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,257 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomaxu_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,258 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomaxu_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,258 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomin_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,258 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomin_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,259 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amominu_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,259 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amominu_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,259 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoor_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,259 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoor_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,260 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoswap_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,260 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoswap_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,260 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoxor_d.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,261 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoxor_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,261 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/lrsc.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,261 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 02:41:04,261 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 02:41:04,262 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/div.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 02:41:04,262 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/divu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 02:41:04,262 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mul.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 02:41:04,262 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulh.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 02:41:04,263 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulhsu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 02:41:04,263 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulhu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 02:41:04,263 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/rem.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 02:41:04,264 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/remu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 02:41:04,264 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 02:41:04,264 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 02:41:04,264 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/access.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 02:41:04,265 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/breakpoint.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 02:41:04,265 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/csr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 02:41:04,265 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/illegal.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 02:41:04,266 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/ma_addr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 02:41:04,266 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/ma_fetch.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 02:41:04,266 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/mcsr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 02:41:04,266 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/sbreak.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 02:41:04,267 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/scall.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 02:41:04,267 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,267 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,268 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/div.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,268 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,268 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divuw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,268 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,269 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mul.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,269 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulh.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,269 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulhsu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,269 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulhu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,270 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,270 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/rem.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,270 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remu.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,271 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remuw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,271 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remw.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,271 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,271 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,272 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,272 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fclass.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,272 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcmp.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,273 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcvt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,273 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcvt_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,273 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fdiv.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,273 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fmadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,274 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fmin.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,274 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/ldst.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,274 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/move.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,275 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/recoding.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,275 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,275 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,275 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoadd_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,276 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoand_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,276 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomax_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,276 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomaxu_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,276 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomin_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,277 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amominu_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,277 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoor_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,277 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoswap_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,278 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoxor_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,278 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/lrsc.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,278 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,278 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,279 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,279 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fclass.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,279 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcmp.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,279 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcvt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,280 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcvt_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,280 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fdiv.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,280 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fmadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,281 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fmin.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,281 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/ldst.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,281 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/move.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,281 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/recoding.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,282 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc 2024-01-19 02:41:04,282 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc 2024-01-19 02:41:04,282 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc/rvc.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc 2024-01-19 02:41:04,282 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 02:41:04,283 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 02:41:04,283 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/breakpoint.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 02:41:04,283 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/csr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 02:41:04,284 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/illegal.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 02:41:04,284 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/ma_addr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 02:41:04,284 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/ma_fetch.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 02:41:04,284 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/mcsr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 02:41:04,285 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/sbreak.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 02:41:04,285 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/scall.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 02:41:04,285 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/shamt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 02:41:04,285 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,286 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,286 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,286 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fclass.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,287 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcmp.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,287 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcvt.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,287 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcvt_w.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,287 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fdiv.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,288 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fmadd.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,288 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fmin.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,288 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/ldst.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,289 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/move.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,289 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/recoding.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,289 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc 2024-01-19 02:41:04,289 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc 2024-01-19 02:41:04,290 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc/rvc.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc 2024-01-19 02:41:04,290 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 02:41:04,290 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/Makefrag -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 02:41:04,290 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/csr.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 02:41:04,291 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/dirty.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 02:41:04,291 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/ma_fetch.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 02:41:04,291 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/sbreak.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 02:41:04,291 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/scall.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 02:41:04,292 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/wfi.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 02:41:04,292 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros 2024-01-19 02:41:04,292 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros/scalar 2024-01-19 02:41:04,292 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros/scalar/test_macros.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros/scalar 2024-01-19 02:41:04,293 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 02:41:04,293 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-FENCE.I-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 02:41:04,293 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-MISALIGN_JMP-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 02:41:04,294 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-MISALIGN_LDST-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 02:41:04,294 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-SB-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 02:41:04,294 root INFO copying pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-SH-01.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 02:41:04,295 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/.travis.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 02:41:04,295 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/Bender.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 02:41:04,295 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/CHANGELOG.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 02:41:04,295 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/LICENSE -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 02:41:04,296 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/LICENSE.SiFive -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 02:41:04,296 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 02:41:04,296 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src_files.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 02:41:04,297 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 02:41:04,297 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/include/apu_core_package.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 02:41:04,297 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/include/apu_macros.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 02:41:04,297 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_config.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 02:41:04,298 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_defines.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 02:41:04,298 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_tracer_defines.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 02:41:04,298 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 02:41:04,299 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 02:41:04,299 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/.gitmodules -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 02:41:04,299 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/Bender.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 02:41:04,299 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/LICENSE -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 02:41:04,300 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 02:41:04,300 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/ips_list.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 02:41:04,300 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src_files.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 02:41:04,301 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 02:41:04,301 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 02:41:04,301 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/.gitmodules -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 02:41:04,301 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/LICENSE -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 02:41:04,302 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 02:41:04,302 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/README.org -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 02:41:04,302 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/src_files.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 02:41:04,303 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 02:41:04,303 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 02:41:04,303 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 02:41:04,304 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 02:41:04,304 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 02:41:04,304 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/encoding.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 02:41:04,305 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/link.ld -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 02:41:04,305 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,305 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/.clang-format -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,305 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,306 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/LICENSE.Berkeley -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,306 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/LICENSE.SiFive -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,306 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,307 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,307 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/SimJTAG.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,307 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/boot_rom.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,307 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_compliance_test.cfg -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,308 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_debug.cfg -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,308 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_tb_pkg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,308 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dp_ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,309 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/mm_ram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,309 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_test_env.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,309 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,310 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top_verilator.cpp -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,310 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top_verilator.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,310 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/vsim_batch.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,311 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/vsim_gui.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,311 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/waves.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,311 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 02:41:04,311 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_csrs.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 02:41:04,312 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_mem.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 02:41:04,312 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_pkg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 02:41:04,312 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_sba.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 02:41:04,313 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_top.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 02:41:04,313 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_wrap.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 02:41:04,313 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_cdc.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 02:41:04,314 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_jtag.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 02:41:04,314 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_jtag_tap.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 02:41:04,314 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-01-19 02:41:04,314 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/debug-system.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-01-19 02:41:04,315 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/debugsys_schematic.svg -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-01-19 02:41:04,315 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/dmi_protocol.json -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-01-19 02:41:04,315 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/dmi_protocol.svg -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-01-19 02:41:04,316 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/download-pulp-gcc.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 02:41:04,316 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/get-openocd.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 02:41:04,316 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/install-verilator.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 02:41:04,317 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/make-tmp.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 02:41:04,317 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/run-openocd-compliance.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 02:41:04,317 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/veri-run-openocd-compliance.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 02:41:04,318 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 02:41:04,318 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/crt0.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 02:41:04,318 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/link.ld -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 02:41:04,318 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/syscalls.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 02:41:04,319 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/test.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 02:41:04,319 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/vectors.S -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 02:41:04,319 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 02:41:04,320 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 02:41:04,320 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 02:41:04,320 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/rbs_test.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 02:41:04,320 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/remote_bitbang.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 02:41:04,321 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/remote_bitbang.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 02:41:04,321 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/sim_jtag.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 02:41:04,321 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/unused 2024-01-19 02:41:04,321 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/unused/SimDTM.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/unused 2024-01-19 02:41:04,322 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,322 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_cast_multi.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,322 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_classifier.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,323 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_divsqrt_multi.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,323 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_fma.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,323 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_fma_multi.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,324 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_noncomp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,324 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_block.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,324 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_fmt_slice.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,325 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_multifmt_slice.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,325 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_pkg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,325 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_rounding.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,326 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_top.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,326 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-01-19 02:41:04,326 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CHANGELOG.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-01-19 02:41:04,327 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CODEOWNERS -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-01-19 02:41:04,327 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CONTRIBUTING.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-01-19 02:41:04,327 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-01-19 02:41:04,327 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 02:41:04,328 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 02:41:04,328 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/.gitlab-ci.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 02:41:04,328 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/Bender.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 02:41:04,329 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/CHANGELOG.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 02:41:04,329 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/LICENSE -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 02:41:04,329 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/README.md -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 02:41:04,330 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src_files.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 02:41:04,330 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-01-19 02:41:04,330 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/Bender.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-01-19 02:41:04,330 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/LICENSE -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-01-19 02:41:04,331 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/src_files.yml -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-01-19 02:41:04,331 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,331 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_synth.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,331 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_synth.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,332 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,332 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_fifo_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,332 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/fifo_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,333 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/graycode_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,333 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/id_queue_synth.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,333 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/id_queue_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,334 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/popcount_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,334 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/simulate.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,334 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/stream_arbiter_synth.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,335 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/stream_register_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,335 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/synth.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,335 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/synth_bench.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,335 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,336 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_2phase.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,336 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_fifo_2phase.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,336 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_fifo_gray.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,337 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cf_math_pkg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,337 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/clk_div.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,337 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/counter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,338 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_detect.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,338 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,338 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator_rx.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,338 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator_tx.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,339 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/exp_backoff.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,339 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/fall_through_register.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,339 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/fifo_v3.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,340 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/graycode.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,340 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/id_queue.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,340 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,341 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr_16bit.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,341 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr_8bit.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,341 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lzc.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,341 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/mv_filter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,342 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/onehot_to_bin.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,342 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/plru_tree.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,342 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/popcount.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,343 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rr_arb_tree.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,343 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rstgen.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,343 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rstgen_bypass.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,344 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/serial_deglitch.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,344 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/shift_reg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,344 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/spill_register.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,345 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sram.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,345 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_arbiter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,345 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_arbiter_flushable.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,345 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_delay.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,346 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_demux.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,346 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_filter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,346 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_fork.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,347 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_mux.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,347 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_register.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,347 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sync.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,348 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sync_wedge.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,348 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/unread.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,349 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-01-19 02:41:04,349 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_2phase.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-01-19 02:41:04,349 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_fifo_2phase.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-01-19 02:41:04,349 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_fifo_gray.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-01-19 02:41:04,350 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/id_queue.do -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-01-19 02:41:04,350 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,350 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/clock_divider.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,350 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/clock_divider_counter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,351 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/fifo_v1.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,351 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/fifo_v2.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,351 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/find_first_one.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,352 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_LFSR_8bit.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,352 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_fifo.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,352 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_fifo_adv.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,353 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/prioarbiter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,353 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/pulp_sync.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,353 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/pulp_sync_wedge.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,354 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/rrarbiter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,354 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include 2024-01-19 02:41:04,354 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include/common_cells 2024-01-19 02:41:04,354 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include/common_cells/registers.svh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include/common_cells 2024-01-19 02:41:04,355 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 02:41:04,355 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/.gitignore -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 02:41:04,355 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/control_mvp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 02:41:04,356 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/defs_div_sqrt_mvp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 02:41:04,356 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/div_sqrt_mvp_wrapper.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 02:41:04,356 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/div_sqrt_top_mvp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 02:41:04,357 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/iteration_div_sqrt_mvp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 02:41:04,357 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/norm_div_sqrt_mvp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 02:41:04,357 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/nrbd_nrsc_mvp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 02:41:04,358 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/preprocess_mvp.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 02:41:04,358 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/document 2024-01-19 02:41:04,358 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/document/Datasheet_of_transprecision.pdf -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/document 2024-01-19 02:41:04,360 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 02:41:04,360 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/multislice_block.png -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 02:41:04,361 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/opgrp_block.png -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 02:41:04,361 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/oprecomp_logo_inline1.png -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 02:41:04,361 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/slice_block.png -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 02:41:04,362 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/top_block.png -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 02:41:04,362 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test 2024-01-19 02:41:04,362 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test/.gitkeep -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test 2024-01-19 02:41:04,363 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test-64 2024-01-19 02:41:04,363 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test-64/.gitkeep -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test-64 2024-01-19 02:41:04,363 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,363 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/.gitkeep -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,364 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,364 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/driver.svh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,364 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/reader.svh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,365 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/response.svh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,365 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scoreboard.svh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,365 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli.svh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,366 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trace_debugger_if.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,366 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trace_debugger_wrapper.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,366 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trdb_tb.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,366 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trdb_tb_top.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,367 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-01-19 02:41:04,367 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/.gitkeep -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-01-19 02:41:04,367 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/trdb_pkg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-01-19 02:41:04,368 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/trdb_tb_pkg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-01-19 02:41:04,368 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver 2024-01-19 02:41:04,368 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver 2024-01-19 02:41:04,368 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/pulp-notes.org -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver 2024-01-19 02:41:04,369 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/waves 2024-01-19 02:41:04,369 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/waves/trace_debugger.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/waves 2024-01-19 02:41:04,369 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,369 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trace_debugger.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,370 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trace_debugger_stimuli_gen.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,370 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/tracer_if.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,370 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/tracer_reg_if.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,371 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_align.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,371 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_align8.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,371 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_apb_if.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,372 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_branch_map.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,372 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_filter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,372 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_lzc.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,372 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_packet_emitter.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,373 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_priority.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,373 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_reg.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,373 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_timer.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,374 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/doc 2024-01-19 02:41:04,374 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/doc/.gitkeep -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/doc 2024-01-19 02:41:04,374 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci 2024-01-19 02:41:04,374 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci/Jenkinsfile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci 2024-01-19 02:41:04,375 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci/run-hw-tests.sh -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci 2024-01-19 02:41:04,375 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scripts 2024-01-19 02:41:04,375 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scripts/vsim.tcl -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scripts 2024-01-19 02:41:04,375 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/dummy 2024-01-19 02:41:04,376 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/dummy/apb_bus_if.sv -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/dummy 2024-01-19 02:41:04,376 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli 2024-01-19 02:41:04,376 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli/test -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli 2024-01-19 02:41:04,376 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-01-19 02:41:04,377 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/.clang-format -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-01-19 02:41:04,377 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-01-19 02:41:04,377 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/interrupt.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-01-19 02:41:04,377 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-01-19 02:41:04,378 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/.clang-format -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-01-19 02:41:04,378 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-01-19 02:41:04,378 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/config.json -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-01-19 02:41:04,378 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/range.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-01-19 02:41:04,379 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-01-19 02:41:04,379 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/.clang-format -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-01-19 02:41:04,379 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-01-19 02:41:04,380 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/ll_driver.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-01-19 02:41:04,380 root INFO creating build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 02:41:04,380 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/.clang-format -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 02:41:04,380 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/Makefile -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 02:41:04,381 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/config.json -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 02:41:04,381 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/driver_example.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 02:41:04,381 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_data_trace_debugger.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 02:41:04,382 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_test.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 02:41:04,382 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_trace_debugger.c -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 02:41:04,382 root INFO copying pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_trace_debugger.h -> build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 02:41:04,398 wheel INFO installing to build/bdist.linux-x86_64/wheel 2024-01-19 02:41:04,398 root INFO running install 2024-01-19 02:41:04,410 root INFO running install_lib 2024-01-19 02:41:04,413 root INFO creating build/bdist.linux-x86_64 2024-01-19 02:41:04,413 root INFO creating build/bdist.linux-x86_64/wheel 2024-01-19 02:41:04,413 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p 2024-01-19 02:41:04,413 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,414 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/src_files.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,414 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/.gitmodules -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,414 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/.gitlab-ci.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,414 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,415 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb 2024-01-19 02:41:04,415 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 02:41:04,415 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_rem.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 02:41:04,415 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_div.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 02:41:04,416 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_urem.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 02:41:04,416 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 02:41:04,416 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 02:41:04,416 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/tb_nogui.do -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 02:41:04,417 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/sim.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 02:41:04,417 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/wave.do -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 02:41:04,417 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/tb.do -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 02:41:04,417 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/compile.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts 2024-01-19 02:41:04,418 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_udiv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/serDiv 2024-01-19 02:41:04,418 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 02:41:04,418 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_random_stall.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 02:41:04,419 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 02:41:04,419 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/include 2024-01-19 02:41:04,419 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/include/perturbation_defines.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/include 2024-01-19 02:41:04,419 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_simchecker.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 02:41:04,420 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_random_interrupt_generator.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 02:41:04,420 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_perturbation.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 02:41:04,420 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/tb_riscv_core.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv 2024-01-19 02:41:04,421 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 02:41:04,421 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/dp_ram.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 02:41:04,421 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 02:41:04,421 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 02:41:04,422 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/ram.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 02:41:04,422 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/testbench.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 02:41:04,422 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/top.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 02:41:04,422 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model 2024-01-19 02:41:04,423 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_MPU 2024-01-19 02:41:04,423 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/tb_MPU/tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/tb_MPU 2024-01-19 02:41:04,423 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/scripts 2024-01-19 02:41:04,423 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/scripts/pulptrace -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/scripts 2024-01-19 02:41:04,424 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,424 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/dp_ram.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,424 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/pulpissimo_debug.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,424 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,425 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,425 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/waves.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,425 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,426 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-01-19 02:41:04,426 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/syscalls.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-01-19 02:41:04,426 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/link.ld -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-01-19 02:41:04,426 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/start.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-01-19 02:41:04,427 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/test.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog 2024-01-19 02:41:04,427 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 02:41:04,427 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/remote_bitbang.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 02:41:04,427 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/sim_jtag.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 02:41:04,428 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 02:41:04,428 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/rbs_test.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 02:41:04,428 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 02:41:04,428 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/remote_bitbang.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang 2024-01-19 02:41:04,429 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_test_env.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,429 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/riscv_tb_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,429 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top_verilator.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,429 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/SimJTAG.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,430 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/pulpissimo_compliance_test.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,430 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/LICENSE.Berkeley -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,430 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/vsim_batch.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,430 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/.clang-format -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,431 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/boot_rom.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,431 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/unused 2024-01-19 02:41:04,431 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/unused/SimDTM.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm/unused 2024-01-19 02:41:04,431 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top_verilator.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,432 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/mm_ram.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,432 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,432 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/LICENSE.SiFive -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,433 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/dm/vsim_gui.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/dm 2024-01-19 02:41:04,433 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,433 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/software.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,433 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/dp_ram.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,434 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,434 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,434 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/waves.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,434 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,435 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_wrapper.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,435 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 02:41:04,435 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/syscalls.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 02:41:04,435 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/link.ld -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 02:41:04,436 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/start.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 02:41:04,436 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/license_notes -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 02:41:04,436 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/riscv-isa-sim.diff -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith 2024-01-19 02:41:04,436 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top_verilator.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,437 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-01-19 02:41:04,437 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,437 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcvt.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,437 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fdiv.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,438 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/ldst.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,438 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fmadd.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,438 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fmin.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,438 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcvt_w.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,439 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/recoding.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,439 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fadd.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,439 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fclass.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,439 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/structural.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,440 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,440 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/Makefrag -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,440 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/move.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud 2024-01-19 02:41:04,441 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,441 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sub.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,441 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lb.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,441 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/jalr.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,442 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sw.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,442 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sltu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,442 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/fence_i.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,442 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lui.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,443 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/add.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,443 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lhu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,443 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lbu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,443 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/or.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,444 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/andi.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,444 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/xori.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,444 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/blt.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,444 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sb.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,445 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/addi.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,445 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srli.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,445 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sll.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,445 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sh.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,446 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lh.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,446 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slti.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,446 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/auipc.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,446 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/jal.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,447 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/simple.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,447 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bne.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,447 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srai.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,447 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lw.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,448 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sltiu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,448 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bltu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,448 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/and.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,448 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/ori.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,449 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bge.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,449 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sra.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,449 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srl.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,449 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/beq.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,450 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bgeu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,450 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slt.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,450 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slli.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,450 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/xor.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,451 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/Makefrag -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui 2024-01-19 02:41:04,451 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 02:41:04,451 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/dirty.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 02:41:04,451 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/ma_fetch.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 02:41:04,452 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/wfi.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 02:41:04,452 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/scall.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 02:41:04,452 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/csr.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 02:41:04,452 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/sbreak.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 02:41:04,453 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/Makefrag -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si 2024-01-19 02:41:04,453 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-01-19 02:41:04,453 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,453 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lwu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,454 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sub.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,454 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sraw.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,454 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lb.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,455 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/jalr.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,455 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sw.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,455 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/ld.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,455 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sltu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,456 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/fence_i.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,456 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lui.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,456 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/add.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,456 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lhu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,457 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lbu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,457 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/or.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,457 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sraiw.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,457 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/andi.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,458 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slliw.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,458 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/xori.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,458 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/subw.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,458 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/blt.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,459 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sb.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,459 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srlw.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,459 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addi.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,459 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srli.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,460 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sll.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,460 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sh.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,460 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lh.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,460 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slti.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,461 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addiw.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,461 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sllw.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,461 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sd.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,462 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/auipc.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,462 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/jal.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,462 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/simple.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,462 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bne.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,463 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srai.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,463 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lw.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,463 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sltiu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,463 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bltu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,464 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/and.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,464 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/ori.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,464 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bge.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,464 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srliw.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,465 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sra.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,465 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srl.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,465 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/beq.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,465 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addw.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,466 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bgeu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,466 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slt.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,466 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slli.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,466 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/xor.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,467 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/Makefrag -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui 2024-01-19 02:41:04,467 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/LICENSE -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-01-19 02:41:04,467 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,468 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoadd_w.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,468 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomin_w.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,468 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amominu_d.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,468 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomin_d.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,469 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomax_d.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,469 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoswap_w.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,469 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomaxu_w.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,469 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amominu_w.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,470 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoand_w.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,470 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoxor_w.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,470 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoand_d.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,470 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoxor_d.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,471 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomax_w.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,471 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/lrsc.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,471 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoadd_d.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,471 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoor_w.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,472 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoor_d.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,472 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomaxu_d.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,472 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/Makefrag -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,472 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoswap_d.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua 2024-01-19 02:41:04,473 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 02:41:04,473 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/remu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 02:41:04,473 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulhsu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 02:41:04,474 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mul.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 02:41:04,474 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/divu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 02:41:04,474 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulhu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 02:41:04,475 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/rem.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 02:41:04,475 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulh.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 02:41:04,475 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/div.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 02:41:04,475 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/Makefrag -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um 2024-01-19 02:41:04,476 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 02:41:04,476 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/ma_addr.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 02:41:04,476 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/illegal.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 02:41:04,476 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/ma_fetch.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 02:41:04,477 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/scall.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 02:41:04,477 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/csr.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 02:41:04,477 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/mcsr.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 02:41:04,477 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/sbreak.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 02:41:04,478 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/breakpoint.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 02:41:04,478 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/access.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 02:41:04,478 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/Makefrag -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi 2024-01-19 02:41:04,478 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,479 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,479 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divuw.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,479 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulhsu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,479 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mul.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,480 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remw.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,480 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,480 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulhu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,480 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/rem.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,481 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulw.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,481 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulh.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,481 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divw.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,481 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remuw.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,482 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/div.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,482 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/Makefrag -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um 2024-01-19 02:41:04,482 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros 2024-01-19 02:41:04,482 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros/scalar 2024-01-19 02:41:04,483 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros/scalar/test_macros.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros/scalar 2024-01-19 02:41:04,483 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,483 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcvt.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,484 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fdiv.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,484 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/ldst.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,484 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fmadd.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,484 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fmin.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,485 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcvt_w.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,485 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/recoding.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,485 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fadd.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,485 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fclass.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,486 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,486 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/Makefrag -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,486 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/move.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf 2024-01-19 02:41:04,486 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,487 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoadd_w.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,487 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomin_w.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,487 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoswap_w.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,487 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomaxu_w.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,488 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amominu_w.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,488 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoand_w.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,488 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoxor_w.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,488 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomax_w.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,489 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/lrsc.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,489 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoor_w.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,489 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/Makefrag -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua 2024-01-19 02:41:04,489 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,490 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcvt.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,490 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fdiv.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,490 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/ldst.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,490 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fmadd.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,491 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fmin.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,491 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcvt_w.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,491 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/recoding.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,491 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fadd.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,492 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fclass.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,492 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,492 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/Makefrag -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,492 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/move.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud 2024-01-19 02:41:04,493 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/riscv_test.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests 2024-01-19 02:41:04,493 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc 2024-01-19 02:41:04,493 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc/rvc.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc 2024-01-19 02:41:04,493 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc/Makefrag -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc 2024-01-19 02:41:04,494 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 02:41:04,494 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/ma_addr.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 02:41:04,494 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/illegal.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 02:41:04,494 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/ma_fetch.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 02:41:04,495 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/scall.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 02:41:04,495 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/csr.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 02:41:04,495 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/shamt.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 02:41:04,495 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/mcsr.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 02:41:04,496 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/sbreak.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 02:41:04,496 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/breakpoint.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 02:41:04,496 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/Makefrag -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi 2024-01-19 02:41:04,496 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,497 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcvt.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,497 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fdiv.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,497 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/ldst.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,497 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fmadd.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,498 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fmin.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,498 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcvt_w.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,498 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/recoding.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,498 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fadd.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,499 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fclass.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,499 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,499 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/Makefrag -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,499 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/move.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf 2024-01-19 02:41:04,500 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc 2024-01-19 02:41:04,500 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc/rvc.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc 2024-01-19 02:41:04,500 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc/Makefrag -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc 2024-01-19 02:41:04,500 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 02:41:04,501 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/dirty.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 02:41:04,501 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/ma_fetch.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 02:41:04,501 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/wfi.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 02:41:04,501 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/scall.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 02:41:04,502 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/csr.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 02:41:04,502 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/sbreak.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 02:41:04,502 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/Makefrag -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si 2024-01-19 02:41:04,502 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-01-19 02:41:04,503 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/isr.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-01-19 02:41:04,503 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/vectors.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-01-19 02:41:04,503 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/matrix.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-01-19 02:41:04,503 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/interrupt_test.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test 2024-01-19 02:41:04,504 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 02:41:04,504 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/vectors.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 02:41:04,504 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/crt0.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 02:41:04,505 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/syscalls.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 02:41:04,505 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/link.ld -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 02:41:04,505 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/hello_world.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom 2024-01-19 02:41:04,505 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/vsim.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,506 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,506 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 02:41:04,506 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-MISALIGN_LDST-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 02:41:04,507 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-SB-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 02:41:04,507 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-FENCE.I-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 02:41:04,507 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-SH-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 02:41:04,507 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-MISALIGN_JMP-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled 2024-01-19 02:41:04,508 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-JALR-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,508 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRWI-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,508 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-NOP-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,508 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ENDIANESS-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,509 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BLTU-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,509 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/compliance_io.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,509 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BGE-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,510 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LW-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,510 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRAI-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,510 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTIU-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,511 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRS-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,511 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BLT-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,511 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-IO.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,511 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-XOR-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,512 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRLI-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,512 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRCI-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,512 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ORI-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,513 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-DELAY_SLOTS-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,513 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BGEU-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,513 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-EBREAK-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,513 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-AND-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,514 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LUI-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,514 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-OR-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,514 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-AUIPC-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,515 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRA-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,515 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SUB-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,515 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LB-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,515 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/compliance_test.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,516 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLT-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,516 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTI-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,516 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LHU-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,517 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTU-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,517 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLLI-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,517 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-JAL-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,517 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-FENCE.I-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,518 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/test_macros.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,518 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRW-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,518 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SW-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,519 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ECALL-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,519 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LBU-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,519 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/riscv_test.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,519 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-XORI-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,520 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ADD-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,520 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRSI-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,520 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_size-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,521 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BEQ-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,521 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLL-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,521 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LH-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,522 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRC-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,522 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ANDI-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,522 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BNE-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,522 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ADDI-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,523 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRL-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,523 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/aw_test_macros.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,523 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_x0-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,524 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_width-01.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests 2024-01-19 02:41:04,524 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp 2024-01-19 02:41:04,524 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp/matmulNxN.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp 2024-01-19 02:41:04,524 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp/main.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp 2024-01-19 02:41:04,525 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/.clang-format -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,525 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top_verilator.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,525 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/mm_ram.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,526 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/amo_shim.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,526 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core 2024-01-19 02:41:04,526 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,526 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/print.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,526 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/stats.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,527 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/multest.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,527 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/makehex.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,527 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/link.ld -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,527 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/sieve.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,527 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/start.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,528 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/riscv.ld -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,528 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/firmware.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,528 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/README -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,528 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/riscv.ld.orig -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware 2024-01-19 02:41:04,528 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/LICENSE -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,529 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/pd 2024-01-19 02:41:04,529 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/pd/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/pd 2024-01-19 02:41:04,529 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,529 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,529 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_controller.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,530 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_tracer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,530 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_cs_registers.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,530 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 02:41:04,530 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src_files.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 02:41:04,531 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 02:41:04,531 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 02:41:04,531 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 02:41:04,531 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 02:41:04,531 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/link.ld -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 02:41:04,532 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/gen_rom.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 02:41:04,532 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 02:41:04,532 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/encoding.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 02:41:04,532 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom 2024-01-19 02:41:04,532 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 02:41:04,533 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,533 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_tb_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,533 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dp_ram.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,533 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,533 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,533 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/waves.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,534 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,534 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 02:41:04,534 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/vectors.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 02:41:04,534 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/crt0.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 02:41:04,534 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/syscalls.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 02:41:04,535 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/link.ld -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 02:41:04,535 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/test.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog 2024-01-19 02:41:04,535 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 02:41:04,535 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/remote_bitbang.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 02:41:04,535 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/sim_jtag.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 02:41:04,536 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 02:41:04,536 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/rbs_test.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 02:41:04,536 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 02:41:04,536 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/remote_bitbang.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang 2024-01-19 02:41:04,536 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_debug.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,536 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_test_env.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,537 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top_verilator.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,537 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/SimJTAG.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,537 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/LICENSE.Berkeley -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,537 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/vsim_batch.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,537 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_compliance_test.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,538 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/.clang-format -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,538 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/boot_rom.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,538 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/unused 2024-01-19 02:41:04,538 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/unused/SimDTM.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/unused 2024-01-19 02:41:04,538 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top_verilator.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,539 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/mm_ram.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,539 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,539 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/LICENSE.SiFive -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,539 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/vsim_gui.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb 2024-01-19 02:41:04,539 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 02:41:04,540 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_jtag.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 02:41:04,540 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_mem.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 02:41:04,540 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_wrap.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 02:41:04,540 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 02:41:04,540 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_jtag_tap.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 02:41:04,541 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_top.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 02:41:04,541 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_cdc.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 02:41:04,541 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_csrs.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 02:41:04,541 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_sba.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src 2024-01-19 02:41:04,542 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/LICENSE -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 02:41:04,542 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/CHANGELOG.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 02:41:04,542 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-01-19 02:41:04,542 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/dmi_protocol.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-01-19 02:41:04,542 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/debugsys_schematic.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-01-19 02:41:04,543 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/debug-system.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-01-19 02:41:04,543 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/dmi_protocol.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc 2024-01-19 02:41:04,543 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 02:41:04,543 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/get-openocd.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 02:41:04,543 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/make-tmp.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 02:41:04,544 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/install-verilator.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 02:41:04,544 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/download-pulp-gcc.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 02:41:04,544 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/run-openocd-compliance.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 02:41:04,544 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/openocd-to-junit.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 02:41:04,544 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/veri-run-openocd-compliance.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci 2024-01-19 02:41:04,544 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/.travis.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 02:41:04,545 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/LICENSE.SiFive -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 02:41:04,545 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/Bender.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg 2024-01-19 02:41:04,545 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_decoder.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,545 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_if_stage.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,546 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_prefetch_buffer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,546 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_alu_div.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,546 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_compressed_decoder.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,546 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 02:41:04,546 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include/apu_macros.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 02:41:04,547 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_tracer_defines.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 02:41:04,547 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include/apu_core_package.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 02:41:04,547 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_config.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 02:41:04,547 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_defines.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/include 2024-01-19 02:41:04,547 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_fetch_fifo.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,548 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_prefetch_L0_buffer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,548 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 02:41:04,548 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src_files.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 02:41:04,548 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/.gitmodules -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 02:41:04,548 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 02:41:04,549 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,549 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_noncomp.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,549 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_fmt_slice.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,549 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_classifier.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,549 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_divsqrt_multi.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,550 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_block.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,550 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_fma.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,550 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 02:41:04,550 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src_files.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 02:41:04,550 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,551 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/stream_register_tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,551 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/graycode_tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,551 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/simulate.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,551 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_fifo_tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,551 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-01-19 02:41:04,552 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_fifo_gray.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-01-19 02:41:04,552 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_2phase.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-01-19 02:41:04,552 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_fifo_2phase.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-01-19 02:41:04,552 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/id_queue.do -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves 2024-01-19 02:41:04,552 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/stream_arbiter_synth.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,552 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/synth.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,553 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/synth_bench.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,553 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_synth.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,553 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/fifo_tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,553 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_synth.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,553 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/id_queue_synth.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,554 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/id_queue_tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,554 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,554 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/popcount_tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test 2024-01-19 02:41:04,554 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/.gitlab-ci.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 02:41:04,554 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 02:41:04,555 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,555 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/counter.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,555 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_arbiter.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,555 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_delay.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,555 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lzc.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,556 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_detect.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,556 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rstgen_bypass.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,556 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sync_wedge.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,556 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_register.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,556 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cf_math_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,557 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/unread.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,557 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/fifo_v3.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,557 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_fork.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,557 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/popcount.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,557 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr_16bit.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,558 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,558 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_demux.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,558 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/exp_backoff.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,558 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sync.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,558 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr_8bit.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,559 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rr_arb_tree.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,559 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator_tx.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,559 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,559 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/id_queue.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,559 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/clk_div.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,560 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/graycode.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,560 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_fifo_gray.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,560 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,560 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_fifo.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,560 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/pulp_sync.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,561 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/fifo_v1.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,561 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/prioarbiter.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,561 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/clock_divider.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,561 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_fifo_adv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,561 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/find_first_one.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,562 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_LFSR_8bit.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,562 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/fifo_v2.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,562 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/clock_divider_counter.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,562 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/pulp_sync_wedge.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,562 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/rrarbiter.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated 2024-01-19 02:41:04,562 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rstgen.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,563 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/shift_reg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,563 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/mv_filter.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,563 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/fall_through_register.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,563 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_2phase.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,563 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_mux.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,564 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/serial_deglitch.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,564 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/onehot_to_bin.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,564 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator_rx.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,564 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sram.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,564 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_arbiter_flushable.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,565 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/spill_register.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,565 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_fifo_2phase.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,565 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_filter.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,565 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/plru_tree.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src 2024-01-19 02:41:04,565 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include 2024-01-19 02:41:04,566 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include/common_cells 2024-01-19 02:41:04,566 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include/common_cells/registers.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include/common_cells 2024-01-19 02:41:04,566 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/LICENSE -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 02:41:04,566 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 02:41:04,566 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/CHANGELOG.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 02:41:04,567 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/Bender.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells 2024-01-19 02:41:04,567 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_multifmt_slice.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,567 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_cast_multi.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,567 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_fma_multi.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,568 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-01-19 02:41:04,568 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/src_files.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-01-19 02:41:04,568 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/LICENSE -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-01-19 02:41:04,568 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 02:41:04,568 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/preprocess_mvp.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 02:41:04,568 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 02:41:04,569 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/norm_div_sqrt_mvp.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 02:41:04,569 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/div_sqrt_top_mvp.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 02:41:04,569 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/defs_div_sqrt_mvp.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 02:41:04,569 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/nrbd_nrsc_mvp.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 02:41:04,569 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/div_sqrt_mvp_wrapper.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 02:41:04,570 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/iteration_div_sqrt_mvp.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 02:41:04,570 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/control_mvp.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl 2024-01-19 02:41:04,570 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/document 2024-01-19 02:41:04,570 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/document/Datasheet_of_transprecision.pdf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/document 2024-01-19 02:41:04,572 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/Bender.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp 2024-01-19 02:41:04,572 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_rounding.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,572 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_top.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,572 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src 2024-01-19 02:41:04,573 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/LICENSE -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 02:41:04,573 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 02:41:04,573 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/ips_list.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 02:41:04,573 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-01-19 02:41:04,573 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CODEOWNERS -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-01-19 02:41:04,574 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-01-19 02:41:04,574 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 02:41:04,574 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/multislice_block.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 02:41:04,574 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/slice_block.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 02:41:04,575 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/oprecomp_logo_inline1.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 02:41:04,575 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/top_block.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 02:41:04,575 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/opgrp_block.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig 2024-01-19 02:41:04,575 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CHANGELOG.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-01-19 02:41:04,576 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CONTRIBUTING.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs 2024-01-19 02:41:04,576 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/Bender.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew 2024-01-19 02:41:04,576 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 02:41:04,576 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/README.org -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 02:41:04,576 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/src_files.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 02:41:04,576 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/.gitmodules -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 02:41:04,577 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test 2024-01-19 02:41:04,577 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test/.gitkeep -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test 2024-01-19 02:41:04,577 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test-64 2024-01-19 02:41:04,577 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test-64/.gitkeep -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test-64 2024-01-19 02:41:04,577 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,578 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trace_debugger_if.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,578 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trdb_tb_top.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,578 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/driver.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,578 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trdb_tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,578 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/response.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,578 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/reader.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,579 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/.gitkeep -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,579 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,579 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trace_debugger_wrapper.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,579 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scripts 2024-01-19 02:41:04,579 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scripts/vsim.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scripts 2024-01-19 02:41:04,580 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/dummy 2024-01-19 02:41:04,580 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/dummy/apb_bus_if.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/dummy 2024-01-19 02:41:04,580 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli 2024-01-19 02:41:04,580 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli/test -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli 2024-01-19 02:41:04,580 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scoreboard.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,581 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb 2024-01-19 02:41:04,581 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-01-19 02:41:04,581 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/trdb_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-01-19 02:41:04,581 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/.gitkeep -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-01-19 02:41:04,581 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/trdb_tb_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include 2024-01-19 02:41:04,581 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/LICENSE -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 02:41:04,582 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 02:41:04,582 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver 2024-01-19 02:41:04,582 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-01-19 02:41:04,582 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/interrupt.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-01-19 02:41:04,582 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/.clang-format -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-01-19 02:41:04,583 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt 2024-01-19 02:41:04,583 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-01-19 02:41:04,583 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/range.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-01-19 02:41:04,583 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/config.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-01-19 02:41:04,583 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/.clang-format -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-01-19 02:41:04,584 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range 2024-01-19 02:41:04,584 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/pulp-notes.org -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver 2024-01-19 02:41:04,584 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-01-19 02:41:04,584 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/ll_driver.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-01-19 02:41:04,584 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/.clang-format -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-01-19 02:41:04,584 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel 2024-01-19 02:41:04,585 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 02:41:04,585 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_trace_debugger.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 02:41:04,585 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/config.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 02:41:04,585 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/.clang-format -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 02:41:04,585 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_trace_debugger.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 02:41:04,586 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_data_trace_debugger.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 02:41:04,586 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_test.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 02:41:04,586 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 02:41:04,586 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/driver_example.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt 2024-01-19 02:41:04,586 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver 2024-01-19 02:41:04,587 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/waves 2024-01-19 02:41:04,587 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/waves/trace_debugger.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/waves 2024-01-19 02:41:04,587 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,587 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_priority.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,587 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_timer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,587 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/tracer_reg_if.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,588 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trace_debugger_stimuli_gen.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,588 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_filter.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,588 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_align.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,588 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_apb_if.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,588 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_branch_map.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,589 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/tracer_if.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,589 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_reg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,589 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_lzc.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,589 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_align8.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,589 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_packet_emitter.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,590 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trace_debugger.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl 2024-01-19 02:41:04,590 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/doc 2024-01-19 02:41:04,590 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/doc/.gitkeep -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/doc 2024-01-19 02:41:04,590 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci 2024-01-19 02:41:04,590 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci/run-hw-tests.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci 2024-01-19 02:41:04,591 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci/Jenkinsfile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci 2024-01-19 02:41:04,591 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger 2024-01-19 02:41:04,591 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_pmp.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,591 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_load_store_unit.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,591 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_int_controller.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,592 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_ex_stage.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,592 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_alu.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,592 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/register_file_test_wrap.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,592 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_mult.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,592 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_core.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,593 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_hwloop_controller.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,593 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_L0_buffer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,593 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/cv32e40p_sim_clock_gate.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,593 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_hwloop_regs.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,594 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_id_stage.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,594 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_register_file_latch.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,594 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_register_file.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,594 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_apu_disp.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/rtl 2024-01-19 02:41:04,594 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/cv32e40p_dm_manifest.flist -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,595 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/doc 2024-01-19 02:41:04,595 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/doc/user_manual.doc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/doc 2024-01-19 02:41:04,597 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/doc/NONSECURED_RI5CY_DEBUG_reference.xlsx -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/doc 2024-01-19 02:41:04,597 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/doc/SECURED_RI5CY_DEBUG_reference.xlsx -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/doc 2024-01-19 02:41:04,598 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/cv32e40p_fpu_manifest.flist -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,598 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/.dir-locals.el -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,599 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/CONTRIBUTING.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,599 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 02:41:04,599 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/get-openocd.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 02:41:04,599 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/rv32tests-to-junit.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 02:41:04,599 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/make-tmp.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 02:41:04,600 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/install-verilator.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 02:41:04,600 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/download-pulp-gcc.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 02:41:04,600 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/run-openocd-compliance.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 02:41:04,600 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/openocd-to-junit.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 02:41:04,600 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/veri-run-openocd-compliance.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 02:41:04,601 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/build-riscv-gcc.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 02:41:04,601 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/ci/Jenkinsfile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog/ci 2024-01-19 02:41:04,601 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/cv32e40p_manifest.flist -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,601 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/.travis.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,602 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/cv32e40p_trace_manifest.flist -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,602 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,602 root INFO copying build/lib/pythondata_cpu_cv32e40p/system_verilog/Bender.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p/system_verilog 2024-01-19 02:41:04,602 root INFO copying build/lib/pythondata_cpu_cv32e40p/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p 2024-01-19 02:41:04,602 root INFO running install_egg_info 2024-01-19 02:41:04,606 root INFO Copying pythondata_cpu_cv32e40p.egg-info to build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p-0.0.post152-py3.11.egg-info 2024-01-19 02:41:04,607 root INFO running install_scripts 2024-01-19 02:41:04,609 wheel INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e40p-0.0.post152.dist-info/WHEEL 2024-01-19 02:41:04,610 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/pythondata-cpu-cv32e40p-2023.12/dist/.tmp-_2b95dij/pythondata_cpu_cv32e40p-0.0.post152-py3-none-any.whl' and adding 'build/bdist.linux-x86_64/wheel' to it 2024-01-19 02:41:04,610 wheel INFO adding 'pythondata_cpu_cv32e40p/__init__.py' 2024-01-19 02:41:04,611 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/.dir-locals.el' 2024-01-19 02:41:04,611 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/.gitignore' 2024-01-19 02:41:04,611 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/.gitlab-ci.yml' 2024-01-19 02:41:04,611 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/.gitmodules' 2024-01-19 02:41:04,612 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/.travis.yml' 2024-01-19 02:41:04,612 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/Bender.yml' 2024-01-19 02:41:04,612 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/CONTRIBUTING.md' 2024-01-19 02:41:04,612 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/LICENSE' 2024-01-19 02:41:04,613 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/Makefile' 2024-01-19 02:41:04,613 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/README.md' 2024-01-19 02:41:04,613 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/cv32e40p_dm_manifest.flist' 2024-01-19 02:41:04,613 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/cv32e40p_fpu_manifest.flist' 2024-01-19 02:41:04,614 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/cv32e40p_manifest.flist' 2024-01-19 02:41:04,614 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/cv32e40p_trace_manifest.flist' 2024-01-19 02:41:04,614 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/src_files.yml' 2024-01-19 02:41:04,614 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/Jenkinsfile' 2024-01-19 02:41:04,615 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/build-riscv-gcc.sh' 2024-01-19 02:41:04,615 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/download-pulp-gcc.sh' 2024-01-19 02:41:04,615 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/get-openocd.sh' 2024-01-19 02:41:04,615 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/install-verilator.sh' 2024-01-19 02:41:04,616 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/make-tmp.sh' 2024-01-19 02:41:04,616 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/openocd-to-junit.py' 2024-01-19 02:41:04,616 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/run-openocd-compliance.sh' 2024-01-19 02:41:04,616 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/rv32tests-to-junit.py' 2024-01-19 02:41:04,616 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/ci/veri-run-openocd-compliance.sh' 2024-01-19 02:41:04,617 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/doc/NONSECURED_RI5CY_DEBUG_reference.xlsx' 2024-01-19 02:41:04,617 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/doc/SECURED_RI5CY_DEBUG_reference.xlsx' 2024-01-19 02:41:04,621 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/doc/user_manual.doc' 2024-01-19 02:41:04,628 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/pd/README.md' 2024-01-19 02:41:04,628 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/cv32e40p_sim_clock_gate.sv' 2024-01-19 02:41:04,628 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/register_file_test_wrap.sv' 2024-01-19 02:41:04,628 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_L0_buffer.sv' 2024-01-19 02:41:04,629 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_alu.sv' 2024-01-19 02:41:04,629 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_alu_div.sv' 2024-01-19 02:41:04,629 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_apu_disp.sv' 2024-01-19 02:41:04,630 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_compressed_decoder.sv' 2024-01-19 02:41:04,630 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_controller.sv' 2024-01-19 02:41:04,631 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_core.sv' 2024-01-19 02:41:04,631 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_cs_registers.sv' 2024-01-19 02:41:04,632 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_decoder.sv' 2024-01-19 02:41:04,632 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_ex_stage.sv' 2024-01-19 02:41:04,633 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_fetch_fifo.sv' 2024-01-19 02:41:04,633 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_hwloop_controller.sv' 2024-01-19 02:41:04,633 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_hwloop_regs.sv' 2024-01-19 02:41:04,634 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_id_stage.sv' 2024-01-19 02:41:04,634 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_if_stage.sv' 2024-01-19 02:41:04,634 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_int_controller.sv' 2024-01-19 02:41:04,635 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_load_store_unit.sv' 2024-01-19 02:41:04,635 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_mult.sv' 2024-01-19 02:41:04,636 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_pmp.sv' 2024-01-19 02:41:04,636 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_prefetch_L0_buffer.sv' 2024-01-19 02:41:04,636 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_prefetch_buffer.sv' 2024-01-19 02:41:04,637 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_register_file.sv' 2024-01-19 02:41:04,637 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_register_file_latch.sv' 2024-01-19 02:41:04,637 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv_tracer.sv' 2024-01-19 02:41:04,638 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/.gitignore' 2024-01-19 02:41:04,638 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/.gitmodules' 2024-01-19 02:41:04,638 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/Bender.yml' 2024-01-19 02:41:04,638 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/LICENSE' 2024-01-19 02:41:04,639 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/README.md' 2024-01-19 02:41:04,639 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/ips_list.yml' 2024-01-19 02:41:04,639 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src_files.yml' 2024-01-19 02:41:04,640 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CHANGELOG.md' 2024-01-19 02:41:04,640 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CODEOWNERS' 2024-01-19 02:41:04,640 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/CONTRIBUTING.md' 2024-01-19 02:41:04,640 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/README.md' 2024-01-19 02:41:04,641 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/multislice_block.png' 2024-01-19 02:41:04,641 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/opgrp_block.png' 2024-01-19 02:41:04,641 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/oprecomp_logo_inline1.png' 2024-01-19 02:41:04,642 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/slice_block.png' 2024-01-19 02:41:04,642 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/docs/fig/top_block.png' 2024-01-19 02:41:04,643 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_cast_multi.sv' 2024-01-19 02:41:04,643 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_classifier.sv' 2024-01-19 02:41:04,643 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_divsqrt_multi.sv' 2024-01-19 02:41:04,644 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_fma.sv' 2024-01-19 02:41:04,644 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_fma_multi.sv' 2024-01-19 02:41:04,645 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_noncomp.sv' 2024-01-19 02:41:04,645 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_block.sv' 2024-01-19 02:41:04,645 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_fmt_slice.sv' 2024-01-19 02:41:04,645 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_opgroup_multifmt_slice.sv' 2024-01-19 02:41:04,646 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_pkg.sv' 2024-01-19 02:41:04,646 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_rounding.sv' 2024-01-19 02:41:04,646 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpnew_top.sv' 2024-01-19 02:41:04,647 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/.gitignore' 2024-01-19 02:41:04,647 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/.gitlab-ci.yml' 2024-01-19 02:41:04,647 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/Bender.yml' 2024-01-19 02:41:04,647 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/CHANGELOG.md' 2024-01-19 02:41:04,648 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/LICENSE' 2024-01-19 02:41:04,648 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/README.md' 2024-01-19 02:41:04,648 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src_files.yml' 2024-01-19 02:41:04,648 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/include/common_cells/registers.svh' 2024-01-19 02:41:04,649 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_2phase.sv' 2024-01-19 02:41:04,649 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_fifo_2phase.sv' 2024-01-19 02:41:04,649 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cdc_fifo_gray.sv' 2024-01-19 02:41:04,650 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/cf_math_pkg.sv' 2024-01-19 02:41:04,650 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/clk_div.sv' 2024-01-19 02:41:04,650 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/counter.sv' 2024-01-19 02:41:04,650 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_detect.sv' 2024-01-19 02:41:04,650 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator.sv' 2024-01-19 02:41:04,651 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator_rx.sv' 2024-01-19 02:41:04,651 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/edge_propagator_tx.sv' 2024-01-19 02:41:04,651 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/exp_backoff.sv' 2024-01-19 02:41:04,651 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/fall_through_register.sv' 2024-01-19 02:41:04,652 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/fifo_v3.sv' 2024-01-19 02:41:04,652 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/graycode.sv' 2024-01-19 02:41:04,652 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/id_queue.sv' 2024-01-19 02:41:04,652 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr.sv' 2024-01-19 02:41:04,653 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr_16bit.sv' 2024-01-19 02:41:04,653 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lfsr_8bit.sv' 2024-01-19 02:41:04,653 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/lzc.sv' 2024-01-19 02:41:04,653 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/mv_filter.sv' 2024-01-19 02:41:04,653 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/onehot_to_bin.sv' 2024-01-19 02:41:04,654 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/plru_tree.sv' 2024-01-19 02:41:04,654 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/popcount.sv' 2024-01-19 02:41:04,654 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rr_arb_tree.sv' 2024-01-19 02:41:04,654 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rstgen.sv' 2024-01-19 02:41:04,655 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/rstgen_bypass.sv' 2024-01-19 02:41:04,655 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/serial_deglitch.sv' 2024-01-19 02:41:04,655 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/shift_reg.sv' 2024-01-19 02:41:04,655 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/spill_register.sv' 2024-01-19 02:41:04,655 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sram.sv' 2024-01-19 02:41:04,656 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_arbiter.sv' 2024-01-19 02:41:04,656 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_arbiter_flushable.sv' 2024-01-19 02:41:04,656 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_delay.sv' 2024-01-19 02:41:04,656 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_demux.sv' 2024-01-19 02:41:04,657 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_filter.sv' 2024-01-19 02:41:04,657 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_fork.sv' 2024-01-19 02:41:04,657 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_mux.sv' 2024-01-19 02:41:04,657 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/stream_register.sv' 2024-01-19 02:41:04,657 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sync.sv' 2024-01-19 02:41:04,658 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/sync_wedge.sv' 2024-01-19 02:41:04,658 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/unread.sv' 2024-01-19 02:41:04,658 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/clock_divider.sv' 2024-01-19 02:41:04,658 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/clock_divider_counter.sv' 2024-01-19 02:41:04,659 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/fifo_v1.sv' 2024-01-19 02:41:04,659 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/fifo_v2.sv' 2024-01-19 02:41:04,659 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/find_first_one.sv' 2024-01-19 02:41:04,659 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_LFSR_8bit.sv' 2024-01-19 02:41:04,660 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_fifo.sv' 2024-01-19 02:41:04,660 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/generic_fifo_adv.sv' 2024-01-19 02:41:04,660 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/prioarbiter.sv' 2024-01-19 02:41:04,660 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/pulp_sync.sv' 2024-01-19 02:41:04,661 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/pulp_sync_wedge.sv' 2024-01-19 02:41:04,661 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/src/deprecated/rrarbiter.sv' 2024-01-19 02:41:04,661 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_synth.sv' 2024-01-19 02:41:04,661 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_synth.tcl' 2024-01-19 02:41:04,661 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_2phase_tb.sv' 2024-01-19 02:41:04,662 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/cdc_fifo_tb.sv' 2024-01-19 02:41:04,662 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/fifo_tb.sv' 2024-01-19 02:41:04,662 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/graycode_tb.sv' 2024-01-19 02:41:04,662 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/id_queue_synth.sv' 2024-01-19 02:41:04,663 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/id_queue_tb.sv' 2024-01-19 02:41:04,663 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/popcount_tb.sv' 2024-01-19 02:41:04,663 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/simulate.sh' 2024-01-19 02:41:04,663 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/stream_arbiter_synth.sv' 2024-01-19 02:41:04,664 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/stream_register_tb.sv' 2024-01-19 02:41:04,664 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/synth.sh' 2024-01-19 02:41:04,664 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/synth_bench.sv' 2024-01-19 02:41:04,664 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_2phase.tcl' 2024-01-19 02:41:04,664 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_fifo_2phase.tcl' 2024-01-19 02:41:04,665 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/cdc_fifo_gray.tcl' 2024-01-19 02:41:04,665 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/common_cells/test/waves/id_queue.do' 2024-01-19 02:41:04,665 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/Bender.yml' 2024-01-19 02:41:04,665 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/LICENSE' 2024-01-19 02:41:04,666 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/src_files.yml' 2024-01-19 02:41:04,668 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/document/Datasheet_of_transprecision.pdf' 2024-01-19 02:41:04,671 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/.gitignore' 2024-01-19 02:41:04,671 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/control_mvp.sv' 2024-01-19 02:41:04,672 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/defs_div_sqrt_mvp.sv' 2024-01-19 02:41:04,673 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/div_sqrt_mvp_wrapper.sv' 2024-01-19 02:41:04,673 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/div_sqrt_top_mvp.sv' 2024-01-19 02:41:04,673 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/iteration_div_sqrt_mvp.sv' 2024-01-19 02:41:04,673 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/norm_div_sqrt_mvp.sv' 2024-01-19 02:41:04,674 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/nrbd_nrsc_mvp.sv' 2024-01-19 02:41:04,674 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/fpnew/src/fpu_div_sqrt_mvp/hdl/preprocess_mvp.sv' 2024-01-19 02:41:04,674 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/include/apu_core_package.sv' 2024-01-19 02:41:04,675 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/include/apu_macros.sv' 2024-01-19 02:41:04,675 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_config.sv' 2024-01-19 02:41:04,675 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_defines.sv' 2024-01-19 02:41:04,675 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/include/riscv_tracer_defines.sv' 2024-01-19 02:41:04,676 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/.travis.yml' 2024-01-19 02:41:04,676 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/Bender.yml' 2024-01-19 02:41:04,676 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/CHANGELOG.md' 2024-01-19 02:41:04,676 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/LICENSE' 2024-01-19 02:41:04,677 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/LICENSE.SiFive' 2024-01-19 02:41:04,677 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/README.md' 2024-01-19 02:41:04,677 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src_files.yml' 2024-01-19 02:41:04,677 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/download-pulp-gcc.sh' 2024-01-19 02:41:04,678 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/get-openocd.sh' 2024-01-19 02:41:04,678 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/install-verilator.sh' 2024-01-19 02:41:04,678 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/make-tmp.sh' 2024-01-19 02:41:04,678 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/openocd-to-junit.py' 2024-01-19 02:41:04,678 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/run-openocd-compliance.sh' 2024-01-19 02:41:04,679 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/veri-run-openocd-compliance.sh' 2024-01-19 02:41:04,679 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/.gitignore' 2024-01-19 02:41:04,679 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/Makefile' 2024-01-19 02:41:04,679 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.S' 2024-01-19 02:41:04,679 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.h' 2024-01-19 02:41:04,680 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/debug_rom.sv' 2024-01-19 02:41:04,680 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/encoding.h' 2024-01-19 02:41:04,680 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/gen_rom.py' 2024-01-19 02:41:04,681 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/link.ld' 2024-01-19 02:41:04,681 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/debug-system.md' 2024-01-19 02:41:04,681 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/debugsys_schematic.svg' 2024-01-19 02:41:04,682 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/dmi_protocol.json' 2024-01-19 02:41:04,682 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/doc/dmi_protocol.svg' 2024-01-19 02:41:04,682 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_csrs.sv' 2024-01-19 02:41:04,683 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_mem.sv' 2024-01-19 02:41:04,683 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_pkg.sv' 2024-01-19 02:41:04,683 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_sba.sv' 2024-01-19 02:41:04,684 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_top.sv' 2024-01-19 02:41:04,684 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dm_wrap.sv' 2024-01-19 02:41:04,684 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_cdc.sv' 2024-01-19 02:41:04,684 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_jtag.sv' 2024-01-19 02:41:04,685 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/src/dmi_jtag_tap.sv' 2024-01-19 02:41:04,685 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/.clang-format' 2024-01-19 02:41:04,685 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/.gitignore' 2024-01-19 02:41:04,685 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/LICENSE.Berkeley' 2024-01-19 02:41:04,686 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/LICENSE.SiFive' 2024-01-19 02:41:04,686 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/Makefile' 2024-01-19 02:41:04,686 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/README.md' 2024-01-19 02:41:04,686 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/SimJTAG.sv' 2024-01-19 02:41:04,687 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/boot_rom.sv' 2024-01-19 02:41:04,687 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_compliance_test.cfg' 2024-01-19 02:41:04,687 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_debug.cfg' 2024-01-19 02:41:04,687 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dm_tb_pkg.sv' 2024-01-19 02:41:04,687 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/dp_ram.sv' 2024-01-19 02:41:04,688 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/mm_ram.sv' 2024-01-19 02:41:04,688 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_test_env.sv' 2024-01-19 02:41:04,688 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top.sv' 2024-01-19 02:41:04,689 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top_verilator.cpp' 2024-01-19 02:41:04,689 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/tb_top_verilator.sv' 2024-01-19 02:41:04,689 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/vsim_batch.tcl' 2024-01-19 02:41:04,689 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/vsim_gui.tcl' 2024-01-19 02:41:04,689 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/waves.tcl' 2024-01-19 02:41:04,690 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/crt0.S' 2024-01-19 02:41:04,690 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/link.ld' 2024-01-19 02:41:04,690 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/syscalls.c' 2024-01-19 02:41:04,690 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/test.c' 2024-01-19 02:41:04,691 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/prog/vectors.S' 2024-01-19 02:41:04,691 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/.gitignore' 2024-01-19 02:41:04,691 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/Makefile' 2024-01-19 02:41:04,691 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/rbs_test.c' 2024-01-19 02:41:04,692 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/remote_bitbang.c' 2024-01-19 02:41:04,692 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/remote_bitbang.h' 2024-01-19 02:41:04,692 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/remote_bitbang/sim_jtag.c' 2024-01-19 02:41:04,692 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/tb/unused/SimDTM.sv' 2024-01-19 02:41:04,693 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/.gitignore' 2024-01-19 02:41:04,693 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/.gitmodules' 2024-01-19 02:41:04,693 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/LICENSE' 2024-01-19 02:41:04,693 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/Makefile' 2024-01-19 02:41:04,693 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/README.org' 2024-01-19 02:41:04,694 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/src_files.yml' 2024-01-19 02:41:04,694 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci/Jenkinsfile' 2024-01-19 02:41:04,694 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/ci/run-hw-tests.sh' 2024-01-19 02:41:04,694 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/doc/.gitkeep' 2024-01-19 02:41:04,695 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/Makefile' 2024-01-19 02:41:04,695 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/pulp-notes.org' 2024-01-19 02:41:04,695 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/.clang-format' 2024-01-19 02:41:04,695 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/Makefile' 2024-01-19 02:41:04,696 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/config.json' 2024-01-19 02:41:04,696 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/example_range/range.c' 2024-01-19 02:41:04,696 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/.clang-format' 2024-01-19 02:41:04,696 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/Makefile' 2024-01-19 02:41:04,696 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/lowlevel/ll_driver.c' 2024-01-19 02:41:04,697 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/.clang-format' 2024-01-19 02:41:04,697 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/Makefile' 2024-01-19 02:41:04,697 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/config.json' 2024-01-19 02:41:04,697 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/driver_example.c' 2024-01-19 02:41:04,697 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_data_trace_debugger.h' 2024-01-19 02:41:04,698 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_test.c' 2024-01-19 02:41:04,698 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_trace_debugger.c' 2024-01-19 02:41:04,698 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/rt/rt_trace_debugger.h' 2024-01-19 02:41:04,698 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/.clang-format' 2024-01-19 02:41:04,699 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/Makefile' 2024-01-19 02:41:04,699 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/driver/test_interrupt/interrupt.c' 2024-01-19 02:41:04,699 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/.gitkeep' 2024-01-19 02:41:04,699 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/trdb_pkg.sv' 2024-01-19 02:41:04,700 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/include/trdb_tb_pkg.sv' 2024-01-19 02:41:04,700 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trace_debugger.sv' 2024-01-19 02:41:04,700 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trace_debugger_stimuli_gen.sv' 2024-01-19 02:41:04,701 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/tracer_if.sv' 2024-01-19 02:41:04,701 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/tracer_reg_if.sv' 2024-01-19 02:41:04,701 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_align.sv' 2024-01-19 02:41:04,701 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_align8.sv' 2024-01-19 02:41:04,702 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_apb_if.sv' 2024-01-19 02:41:04,702 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_branch_map.sv' 2024-01-19 02:41:04,702 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_filter.sv' 2024-01-19 02:41:04,702 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_lzc.sv' 2024-01-19 02:41:04,702 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_packet_emitter.sv' 2024-01-19 02:41:04,703 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_priority.sv' 2024-01-19 02:41:04,703 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_reg.sv' 2024-01-19 02:41:04,703 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/rtl/trdb_timer.sv' 2024-01-19 02:41:04,704 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/.gitkeep' 2024-01-19 02:41:04,704 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/Makefile' 2024-01-19 02:41:04,704 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/driver.svh' 2024-01-19 02:41:04,704 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/reader.svh' 2024-01-19 02:41:04,704 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/response.svh' 2024-01-19 02:41:04,705 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scoreboard.svh' 2024-01-19 02:41:04,705 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli.svh' 2024-01-19 02:41:04,705 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trace_debugger_if.sv' 2024-01-19 02:41:04,706 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trace_debugger_wrapper.sv' 2024-01-19 02:41:04,706 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trdb_tb.sv' 2024-01-19 02:41:04,706 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/trdb_tb_top.sv' 2024-01-19 02:41:04,706 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/dummy/apb_bus_if.sv' 2024-01-19 02:41:04,706 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/scripts/vsim.tcl' 2024-01-19 02:41:04,707 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/tb/stimuli/test' 2024-01-19 02:41:04,707 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test/.gitkeep' 2024-01-19 02:41:04,707 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/test-64/.gitkeep' 2024-01-19 02:41:04,707 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/rtl/trace_debugger/waves/trace_debugger.tcl' 2024-01-19 02:41:04,708 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/.clang-format' 2024-01-19 02:41:04,708 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/.gitignore' 2024-01-19 02:41:04,708 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/Makefile' 2024-01-19 02:41:04,709 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/README.md' 2024-01-19 02:41:04,709 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/amo_shim.sv' 2024-01-19 02:41:04,709 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/dp_ram.sv' 2024-01-19 02:41:04,709 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/mm_ram.sv' 2024-01-19 02:41:04,710 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_wrapper.sv' 2024-01-19 02:41:04,710 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/software.tcl' 2024-01-19 02:41:04,710 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top.sv' 2024-01-19 02:41:04,710 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top_verilator.cpp' 2024-01-19 02:41:04,711 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/tb_top_verilator.sv' 2024-01-19 02:41:04,711 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/vsim.tcl' 2024-01-19 02:41:04,711 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/waves.tcl' 2024-01-19 02:41:04,711 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/license_notes' 2024-01-19 02:41:04,712 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/link.ld' 2024-01-19 02:41:04,712 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/riscv-isa-sim.diff' 2024-01-19 02:41:04,712 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/start.S' 2024-01-19 02:41:04,712 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/csmith/syscalls.c' 2024-01-19 02:41:04,712 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/crt0.S' 2024-01-19 02:41:04,713 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/hello_world.c' 2024-01-19 02:41:04,713 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/link.ld' 2024-01-19 02:41:04,713 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/syscalls.c' 2024-01-19 02:41:04,713 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/custom/vectors.S' 2024-01-19 02:41:04,714 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp/main.c' 2024-01-19 02:41:04,714 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/custom_fp/matmulNxN.c' 2024-01-19 02:41:04,714 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/README' 2024-01-19 02:41:04,714 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/firmware.h' 2024-01-19 02:41:04,715 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/link.ld' 2024-01-19 02:41:04,715 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/makehex.py' 2024-01-19 02:41:04,715 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/multest.c' 2024-01-19 02:41:04,715 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/print.c' 2024-01-19 02:41:04,715 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/riscv.ld' 2024-01-19 02:41:04,716 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/riscv.ld.orig' 2024-01-19 02:41:04,716 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/sieve.c' 2024-01-19 02:41:04,716 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/start.S' 2024-01-19 02:41:04,716 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/stats.c' 2024-01-19 02:41:04,717 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/interrupt_test.c' 2024-01-19 02:41:04,717 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/isr.h' 2024-01-19 02:41:04,717 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/matrix.h' 2024-01-19 02:41:04,718 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/interrupt_test/vectors.S' 2024-01-19 02:41:04,718 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ADD-01.S' 2024-01-19 02:41:04,718 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ADDI-01.S' 2024-01-19 02:41:04,719 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-AND-01.S' 2024-01-19 02:41:04,719 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ANDI-01.S' 2024-01-19 02:41:04,719 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-AUIPC-01.S' 2024-01-19 02:41:04,719 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BEQ-01.S' 2024-01-19 02:41:04,720 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BGE-01.S' 2024-01-19 02:41:04,720 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BGEU-01.S' 2024-01-19 02:41:04,720 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BLT-01.S' 2024-01-19 02:41:04,721 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BLTU-01.S' 2024-01-19 02:41:04,721 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-BNE-01.S' 2024-01-19 02:41:04,721 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRC-01.S' 2024-01-19 02:41:04,721 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRCI-01.S' 2024-01-19 02:41:04,722 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRS-01.S' 2024-01-19 02:41:04,722 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRSI-01.S' 2024-01-19 02:41:04,722 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRW-01.S' 2024-01-19 02:41:04,722 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-CSRRWI-01.S' 2024-01-19 02:41:04,723 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-DELAY_SLOTS-01.S' 2024-01-19 02:41:04,723 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-EBREAK-01.S' 2024-01-19 02:41:04,724 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ECALL-01.S' 2024-01-19 02:41:04,724 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ENDIANESS-01.S' 2024-01-19 02:41:04,724 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-FENCE.I-01.S' 2024-01-19 02:41:04,724 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-IO.S' 2024-01-19 02:41:04,724 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-JAL-01.S' 2024-01-19 02:41:04,725 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-JALR-01.S' 2024-01-19 02:41:04,725 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LB-01.S' 2024-01-19 02:41:04,725 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LBU-01.S' 2024-01-19 02:41:04,726 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LH-01.S' 2024-01-19 02:41:04,726 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LHU-01.S' 2024-01-19 02:41:04,726 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LUI-01.S' 2024-01-19 02:41:04,726 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-LW-01.S' 2024-01-19 02:41:04,727 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-NOP-01.S' 2024-01-19 02:41:04,727 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-OR-01.S' 2024-01-19 02:41:04,727 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-ORI-01.S' 2024-01-19 02:41:04,727 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_size-01.S' 2024-01-19 02:41:04,728 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_width-01.S' 2024-01-19 02:41:04,728 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-RF_x0-01.S' 2024-01-19 02:41:04,728 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLL-01.S' 2024-01-19 02:41:04,729 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLLI-01.S' 2024-01-19 02:41:04,729 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLT-01.S' 2024-01-19 02:41:04,729 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTI-01.S' 2024-01-19 02:41:04,729 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTIU-01.S' 2024-01-19 02:41:04,730 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SLTU-01.S' 2024-01-19 02:41:04,730 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRA-01.S' 2024-01-19 02:41:04,730 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRAI-01.S' 2024-01-19 02:41:04,731 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRL-01.S' 2024-01-19 02:41:04,731 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SRLI-01.S' 2024-01-19 02:41:04,731 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SUB-01.S' 2024-01-19 02:41:04,732 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-SW-01.S' 2024-01-19 02:41:04,732 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-XOR-01.S' 2024-01-19 02:41:04,732 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/I-XORI-01.S' 2024-01-19 02:41:04,732 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/aw_test_macros.h' 2024-01-19 02:41:04,733 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/compliance_io.h' 2024-01-19 02:41:04,733 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/compliance_test.h' 2024-01-19 02:41:04,733 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/riscv_test.h' 2024-01-19 02:41:04,733 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/test_macros.h' 2024-01-19 02:41:04,734 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-FENCE.I-01.S' 2024-01-19 02:41:04,734 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-MISALIGN_JMP-01.S' 2024-01-19 02:41:04,734 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-MISALIGN_LDST-01.S' 2024-01-19 02:41:04,735 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-SB-01.S' 2024-01-19 02:41:04,735 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_compliance_tests/disabled/I-SH-01.S' 2024-01-19 02:41:04,735 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/LICENSE' 2024-01-19 02:41:04,735 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/README.md' 2024-01-19 02:41:04,736 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/riscv_test.h' 2024-01-19 02:41:04,736 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/macros/scalar/test_macros.h' 2024-01-19 02:41:04,736 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/Makefrag' 2024-01-19 02:41:04,736 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/breakpoint.S' 2024-01-19 02:41:04,737 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/csr.S' 2024-01-19 02:41:04,737 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/illegal.S' 2024-01-19 02:41:04,737 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/ma_addr.S' 2024-01-19 02:41:04,737 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/ma_fetch.S' 2024-01-19 02:41:04,737 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/mcsr.S' 2024-01-19 02:41:04,738 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/sbreak.S' 2024-01-19 02:41:04,738 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/scall.S' 2024-01-19 02:41:04,738 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32mi/shamt.S' 2024-01-19 02:41:04,738 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/Makefrag' 2024-01-19 02:41:04,738 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/csr.S' 2024-01-19 02:41:04,739 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/dirty.S' 2024-01-19 02:41:04,739 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/ma_fetch.S' 2024-01-19 02:41:04,739 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/sbreak.S' 2024-01-19 02:41:04,739 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/scall.S' 2024-01-19 02:41:04,739 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32si/wfi.S' 2024-01-19 02:41:04,740 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/Makefrag' 2024-01-19 02:41:04,740 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoadd_w.S' 2024-01-19 02:41:04,740 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoand_w.S' 2024-01-19 02:41:04,740 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomax_w.S' 2024-01-19 02:41:04,740 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomaxu_w.S' 2024-01-19 02:41:04,741 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amomin_w.S' 2024-01-19 02:41:04,741 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amominu_w.S' 2024-01-19 02:41:04,741 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoor_w.S' 2024-01-19 02:41:04,741 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoswap_w.S' 2024-01-19 02:41:04,741 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/amoxor_w.S' 2024-01-19 02:41:04,742 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ua/lrsc.S' 2024-01-19 02:41:04,742 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc/Makefrag' 2024-01-19 02:41:04,742 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uc/rvc.S' 2024-01-19 02:41:04,742 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/Makefrag' 2024-01-19 02:41:04,742 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fadd.S' 2024-01-19 02:41:04,743 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fclass.S' 2024-01-19 02:41:04,743 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcmp.S' 2024-01-19 02:41:04,743 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcvt.S' 2024-01-19 02:41:04,743 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fcvt_w.S' 2024-01-19 02:41:04,743 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fdiv.S' 2024-01-19 02:41:04,744 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fmadd.S' 2024-01-19 02:41:04,744 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/fmin.S' 2024-01-19 02:41:04,744 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/ldst.S' 2024-01-19 02:41:04,744 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/move.S' 2024-01-19 02:41:04,744 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ud/recoding.S' 2024-01-19 02:41:04,745 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/Makefrag' 2024-01-19 02:41:04,745 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fadd.S' 2024-01-19 02:41:04,745 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fclass.S' 2024-01-19 02:41:04,745 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcmp.S' 2024-01-19 02:41:04,745 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcvt.S' 2024-01-19 02:41:04,746 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fcvt_w.S' 2024-01-19 02:41:04,746 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fdiv.S' 2024-01-19 02:41:04,746 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fmadd.S' 2024-01-19 02:41:04,746 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/fmin.S' 2024-01-19 02:41:04,746 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/ldst.S' 2024-01-19 02:41:04,747 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/move.S' 2024-01-19 02:41:04,747 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32uf/recoding.S' 2024-01-19 02:41:04,747 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/Makefrag' 2024-01-19 02:41:04,747 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/add.S' 2024-01-19 02:41:04,748 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/addi.S' 2024-01-19 02:41:04,748 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/and.S' 2024-01-19 02:41:04,748 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/andi.S' 2024-01-19 02:41:04,748 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/auipc.S' 2024-01-19 02:41:04,748 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/beq.S' 2024-01-19 02:41:04,748 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bge.S' 2024-01-19 02:41:04,749 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bgeu.S' 2024-01-19 02:41:04,749 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/blt.S' 2024-01-19 02:41:04,749 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bltu.S' 2024-01-19 02:41:04,749 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/bne.S' 2024-01-19 02:41:04,749 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/fence_i.S' 2024-01-19 02:41:04,750 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/jal.S' 2024-01-19 02:41:04,750 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/jalr.S' 2024-01-19 02:41:04,750 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lb.S' 2024-01-19 02:41:04,750 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lbu.S' 2024-01-19 02:41:04,750 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lh.S' 2024-01-19 02:41:04,751 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lhu.S' 2024-01-19 02:41:04,751 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lui.S' 2024-01-19 02:41:04,751 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/lw.S' 2024-01-19 02:41:04,751 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/or.S' 2024-01-19 02:41:04,751 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/ori.S' 2024-01-19 02:41:04,752 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sb.S' 2024-01-19 02:41:04,752 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sh.S' 2024-01-19 02:41:04,752 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/simple.S' 2024-01-19 02:41:04,752 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sll.S' 2024-01-19 02:41:04,752 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slli.S' 2024-01-19 02:41:04,753 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slt.S' 2024-01-19 02:41:04,753 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/slti.S' 2024-01-19 02:41:04,753 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sltiu.S' 2024-01-19 02:41:04,753 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sltu.S' 2024-01-19 02:41:04,753 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sra.S' 2024-01-19 02:41:04,753 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srai.S' 2024-01-19 02:41:04,754 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srl.S' 2024-01-19 02:41:04,754 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/srli.S' 2024-01-19 02:41:04,754 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sub.S' 2024-01-19 02:41:04,754 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/sw.S' 2024-01-19 02:41:04,754 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/xor.S' 2024-01-19 02:41:04,755 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32ui/xori.S' 2024-01-19 02:41:04,755 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/Makefrag' 2024-01-19 02:41:04,755 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/div.S' 2024-01-19 02:41:04,755 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/divu.S' 2024-01-19 02:41:04,756 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mul.S' 2024-01-19 02:41:04,756 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulh.S' 2024-01-19 02:41:04,756 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulhsu.S' 2024-01-19 02:41:04,756 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/mulhu.S' 2024-01-19 02:41:04,756 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/rem.S' 2024-01-19 02:41:04,757 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv32um/remu.S' 2024-01-19 02:41:04,757 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/Makefrag' 2024-01-19 02:41:04,757 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/access.S' 2024-01-19 02:41:04,757 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/breakpoint.S' 2024-01-19 02:41:04,758 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/csr.S' 2024-01-19 02:41:04,758 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/illegal.S' 2024-01-19 02:41:04,758 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/ma_addr.S' 2024-01-19 02:41:04,758 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/ma_fetch.S' 2024-01-19 02:41:04,758 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/mcsr.S' 2024-01-19 02:41:04,759 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/sbreak.S' 2024-01-19 02:41:04,759 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64mi/scall.S' 2024-01-19 02:41:04,759 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/Makefrag' 2024-01-19 02:41:04,759 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/csr.S' 2024-01-19 02:41:04,759 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/dirty.S' 2024-01-19 02:41:04,760 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/ma_fetch.S' 2024-01-19 02:41:04,760 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/sbreak.S' 2024-01-19 02:41:04,760 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/scall.S' 2024-01-19 02:41:04,760 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64si/wfi.S' 2024-01-19 02:41:04,761 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/Makefrag' 2024-01-19 02:41:04,761 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoadd_d.S' 2024-01-19 02:41:04,761 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoadd_w.S' 2024-01-19 02:41:04,761 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoand_d.S' 2024-01-19 02:41:04,761 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoand_w.S' 2024-01-19 02:41:04,762 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomax_d.S' 2024-01-19 02:41:04,762 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomax_w.S' 2024-01-19 02:41:04,762 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomaxu_d.S' 2024-01-19 02:41:04,762 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomaxu_w.S' 2024-01-19 02:41:04,762 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomin_d.S' 2024-01-19 02:41:04,763 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amomin_w.S' 2024-01-19 02:41:04,763 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amominu_d.S' 2024-01-19 02:41:04,763 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amominu_w.S' 2024-01-19 02:41:04,763 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoor_d.S' 2024-01-19 02:41:04,763 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoor_w.S' 2024-01-19 02:41:04,764 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoswap_d.S' 2024-01-19 02:41:04,764 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoswap_w.S' 2024-01-19 02:41:04,764 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoxor_d.S' 2024-01-19 02:41:04,764 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/amoxor_w.S' 2024-01-19 02:41:04,764 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ua/lrsc.S' 2024-01-19 02:41:04,765 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc/Makefrag' 2024-01-19 02:41:04,765 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uc/rvc.S' 2024-01-19 02:41:04,765 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/Makefrag' 2024-01-19 02:41:04,765 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fadd.S' 2024-01-19 02:41:04,765 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fclass.S' 2024-01-19 02:41:04,766 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcmp.S' 2024-01-19 02:41:04,766 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcvt.S' 2024-01-19 02:41:04,766 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fcvt_w.S' 2024-01-19 02:41:04,766 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fdiv.S' 2024-01-19 02:41:04,767 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fmadd.S' 2024-01-19 02:41:04,767 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/fmin.S' 2024-01-19 02:41:04,767 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/ldst.S' 2024-01-19 02:41:04,767 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/move.S' 2024-01-19 02:41:04,767 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/recoding.S' 2024-01-19 02:41:04,768 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ud/structural.S' 2024-01-19 02:41:04,768 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/Makefrag' 2024-01-19 02:41:04,768 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fadd.S' 2024-01-19 02:41:04,768 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fclass.S' 2024-01-19 02:41:04,768 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcmp.S' 2024-01-19 02:41:04,769 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcvt.S' 2024-01-19 02:41:04,769 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fcvt_w.S' 2024-01-19 02:41:04,769 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fdiv.S' 2024-01-19 02:41:04,769 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fmadd.S' 2024-01-19 02:41:04,770 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/fmin.S' 2024-01-19 02:41:04,770 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/ldst.S' 2024-01-19 02:41:04,770 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/move.S' 2024-01-19 02:41:04,770 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64uf/recoding.S' 2024-01-19 02:41:04,770 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/Makefrag' 2024-01-19 02:41:04,771 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/add.S' 2024-01-19 02:41:04,771 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addi.S' 2024-01-19 02:41:04,771 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addiw.S' 2024-01-19 02:41:04,771 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/addw.S' 2024-01-19 02:41:04,772 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/and.S' 2024-01-19 02:41:04,772 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/andi.S' 2024-01-19 02:41:04,772 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/auipc.S' 2024-01-19 02:41:04,772 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/beq.S' 2024-01-19 02:41:04,772 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bge.S' 2024-01-19 02:41:04,773 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bgeu.S' 2024-01-19 02:41:04,773 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/blt.S' 2024-01-19 02:41:04,773 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bltu.S' 2024-01-19 02:41:04,773 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/bne.S' 2024-01-19 02:41:04,773 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/fence_i.S' 2024-01-19 02:41:04,774 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/jal.S' 2024-01-19 02:41:04,774 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/jalr.S' 2024-01-19 02:41:04,774 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lb.S' 2024-01-19 02:41:04,774 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lbu.S' 2024-01-19 02:41:04,774 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/ld.S' 2024-01-19 02:41:04,775 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lh.S' 2024-01-19 02:41:04,775 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lhu.S' 2024-01-19 02:41:04,775 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lui.S' 2024-01-19 02:41:04,775 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lw.S' 2024-01-19 02:41:04,775 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/lwu.S' 2024-01-19 02:41:04,776 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/or.S' 2024-01-19 02:41:04,776 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/ori.S' 2024-01-19 02:41:04,776 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sb.S' 2024-01-19 02:41:04,776 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sd.S' 2024-01-19 02:41:04,777 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sh.S' 2024-01-19 02:41:04,777 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/simple.S' 2024-01-19 02:41:04,777 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sll.S' 2024-01-19 02:41:04,777 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slli.S' 2024-01-19 02:41:04,777 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slliw.S' 2024-01-19 02:41:04,778 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sllw.S' 2024-01-19 02:41:04,778 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slt.S' 2024-01-19 02:41:04,778 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/slti.S' 2024-01-19 02:41:04,778 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sltiu.S' 2024-01-19 02:41:04,779 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sltu.S' 2024-01-19 02:41:04,779 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sra.S' 2024-01-19 02:41:04,779 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srai.S' 2024-01-19 02:41:04,779 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sraiw.S' 2024-01-19 02:41:04,779 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sraw.S' 2024-01-19 02:41:04,780 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srl.S' 2024-01-19 02:41:04,780 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srli.S' 2024-01-19 02:41:04,780 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srliw.S' 2024-01-19 02:41:04,780 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/srlw.S' 2024-01-19 02:41:04,781 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sub.S' 2024-01-19 02:41:04,781 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/subw.S' 2024-01-19 02:41:04,781 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/sw.S' 2024-01-19 02:41:04,781 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/xor.S' 2024-01-19 02:41:04,781 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64ui/xori.S' 2024-01-19 02:41:04,782 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/Makefrag' 2024-01-19 02:41:04,782 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/div.S' 2024-01-19 02:41:04,782 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divu.S' 2024-01-19 02:41:04,782 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divuw.S' 2024-01-19 02:41:04,783 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/divw.S' 2024-01-19 02:41:04,783 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mul.S' 2024-01-19 02:41:04,783 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulh.S' 2024-01-19 02:41:04,783 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulhsu.S' 2024-01-19 02:41:04,784 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulhu.S' 2024-01-19 02:41:04,784 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/mulw.S' 2024-01-19 02:41:04,784 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/rem.S' 2024-01-19 02:41:04,784 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remu.S' 2024-01-19 02:41:04,784 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remuw.S' 2024-01-19 02:41:04,785 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/core/riscv_tests/rv64um/remw.S' 2024-01-19 02:41:04,785 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/.clang-format' 2024-01-19 02:41:04,785 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/.gitignore' 2024-01-19 02:41:04,785 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/LICENSE.Berkeley' 2024-01-19 02:41:04,785 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/LICENSE.SiFive' 2024-01-19 02:41:04,786 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/Makefile' 2024-01-19 02:41:04,786 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/README.md' 2024-01-19 02:41:04,786 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/SimJTAG.sv' 2024-01-19 02:41:04,786 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/boot_rom.sv' 2024-01-19 02:41:04,787 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/dp_ram.sv' 2024-01-19 02:41:04,787 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/mm_ram.sv' 2024-01-19 02:41:04,787 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/pulpissimo_compliance_test.cfg' 2024-01-19 02:41:04,787 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/pulpissimo_debug.cfg' 2024-01-19 02:41:04,788 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/riscv_tb_pkg.sv' 2024-01-19 02:41:04,788 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_test_env.sv' 2024-01-19 02:41:04,788 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top.sv' 2024-01-19 02:41:04,788 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top_verilator.cpp' 2024-01-19 02:41:04,789 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/tb_top_verilator.sv' 2024-01-19 02:41:04,789 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/vsim_batch.tcl' 2024-01-19 02:41:04,789 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/vsim_gui.tcl' 2024-01-19 02:41:04,789 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/waves.tcl' 2024-01-19 02:41:04,790 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/link.ld' 2024-01-19 02:41:04,790 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/start.S' 2024-01-19 02:41:04,790 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/syscalls.c' 2024-01-19 02:41:04,790 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/prog/test.c' 2024-01-19 02:41:04,791 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/.gitignore' 2024-01-19 02:41:04,791 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/Makefile' 2024-01-19 02:41:04,791 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/rbs_test.c' 2024-01-19 02:41:04,791 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/remote_bitbang.c' 2024-01-19 02:41:04,791 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/remote_bitbang.h' 2024-01-19 02:41:04,792 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/remote_bitbang/sim_jtag.c' 2024-01-19 02:41:04,792 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/dm/unused/SimDTM.sv' 2024-01-19 02:41:04,792 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/scripts/pulptrace' 2024-01-19 02:41:04,793 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb.sv' 2024-01-19 02:41:04,793 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_div.sv' 2024-01-19 02:41:04,793 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_rem.sv' 2024-01-19 02:41:04,793 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_udiv.sv' 2024-01-19 02:41:04,793 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/tb_urem.sv' 2024-01-19 02:41:04,794 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/compile.sh' 2024-01-19 02:41:04,794 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/sim.sh' 2024-01-19 02:41:04,794 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/tb.do' 2024-01-19 02:41:04,794 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/tb_nogui.do' 2024-01-19 02:41:04,795 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/serDiv/scripts/wave.do' 2024-01-19 02:41:04,795 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_MPU/tb.sv' 2024-01-19 02:41:04,795 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/README.md' 2024-01-19 02:41:04,795 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_perturbation.sv' 2024-01-19 02:41:04,796 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_random_interrupt_generator.sv' 2024-01-19 02:41:04,796 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_random_stall.sv' 2024-01-19 02:41:04,796 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/riscv_simchecker.sv' 2024-01-19 02:41:04,797 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/tb_riscv_core.sv' 2024-01-19 02:41:04,797 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/tb_riscv/include/perturbation_defines.sv' 2024-01-19 02:41:04,797 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/.gitignore' 2024-01-19 02:41:04,797 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/Makefile' 2024-01-19 02:41:04,798 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/README.md' 2024-01-19 02:41:04,798 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/dp_ram.sv' 2024-01-19 02:41:04,798 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/ram.sv' 2024-01-19 02:41:04,798 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/testbench.cpp' 2024-01-19 02:41:04,799 wheel INFO adding 'pythondata_cpu_cv32e40p/system_verilog/tb/verilator-model/top.sv' 2024-01-19 02:41:04,799 wheel INFO adding 'pythondata_cpu_cv32e40p-0.0.post152.dist-info/LICENSE' 2024-01-19 02:41:04,799 wheel INFO adding 'pythondata_cpu_cv32e40p-0.0.post152.dist-info/METADATA' 2024-01-19 02:41:04,799 wheel INFO adding 'pythondata_cpu_cv32e40p-0.0.post152.dist-info/WHEEL' 2024-01-19 02:41:04,800 wheel INFO adding 'pythondata_cpu_cv32e40p-0.0.post152.dist-info/top_level.txt' 2024-01-19 02:41:04,803 wheel INFO adding 'pythondata_cpu_cv32e40p-0.0.post152.dist-info/RECORD' 2024-01-19 02:41:04,805 wheel INFO removing build/bdist.linux-x86_64/wheel 2024-01-19 02:41:04,823 gpep517 INFO The backend produced dist/pythondata_cpu_cv32e40p-0.0.post152-py3-none-any.whl pythondata_cpu_cv32e40p-0.0.post152-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-cv32e41p 2024-01-19 02:41:05,030 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 02:41:05,061 root INFO running bdist_wheel 2024-01-19 02:41:05,077 root INFO running build 2024-01-19 02:41:05,077 root INFO running build_py 2024-01-19 02:41:05,080 root INFO creating build 2024-01-19 02:41:05,080 root INFO creating build/lib 2024-01-19 02:41:05,080 root INFO creating build/lib/pythondata_cpu_cv32e41p 2024-01-19 02:41:05,080 root INFO copying pythondata_cpu_cv32e41p/__init__.py -> build/lib/pythondata_cpu_cv32e41p 2024-01-19 02:41:05,081 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 02:41:05,081 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 02:41:05,081 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/rv32tests-to-junit.py -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 02:41:05,081 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/openocd-to-junit.py -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 02:41:05,082 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/docs 2024-01-19 02:41:05,083 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,083 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/conf.py -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,084 root INFO running egg_info 2024-01-19 02:41:05,084 root INFO creating pythondata_cpu_cv32e41p.egg-info 2024-01-19 02:41:05,086 root INFO writing pythondata_cpu_cv32e41p.egg-info/PKG-INFO 2024-01-19 02:41:05,086 root INFO writing dependency_links to pythondata_cpu_cv32e41p.egg-info/dependency_links.txt 2024-01-19 02:41:05,086 root INFO writing top-level names to pythondata_cpu_cv32e41p.egg-info/top_level.txt 2024-01-19 02:41:05,087 root INFO writing manifest file 'pythondata_cpu_cv32e41p.egg-info/SOURCES.txt' 2024-01-19 02:41:05,092 root INFO reading manifest file 'pythondata_cpu_cv32e41p.egg-info/SOURCES.txt' 2024-01-19 02:41:05,092 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 02:41:05,095 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 02:41:05,096 root INFO adding license file 'LICENSE' 2024-01-19 02:41:05,098 root INFO writing manifest file 'pythondata_cpu_cv32e41p.egg-info/SOURCES.txt' 2024-01-19 02:41:05,105 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.dir-locals.el -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 02:41:05,105 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.gitignore -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 02:41:05,105 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.gitlab-ci.yml -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 02:41:05,105 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.travis.yml -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 02:41:05,106 root INFO copying pythondata_cpu_cv32e41p/system_verilog/Bender.yml -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 02:41:05,106 root INFO copying pythondata_cpu_cv32e41p/system_verilog/CONTRIBUTING.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 02:41:05,106 root INFO copying pythondata_cpu_cv32e41p/system_verilog/LICENSE -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 02:41:05,106 root INFO copying pythondata_cpu_cv32e41p/system_verilog/README.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 02:41:05,107 root INFO copying pythondata_cpu_cv32e41p/system_verilog/cv32e41p_manifest.flist -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 02:41:05,107 root INFO copying pythondata_cpu_cv32e41p/system_verilog/src_files.yml -> build/lib/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 02:41:05,107 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/.github 2024-01-19 02:41:05,107 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:05,108 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/bug.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:05,108 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/config.yml -> build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:05,108 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/enhancement.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:05,108 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/question.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:05,108 root INFO copying pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/task.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:05,109 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/constraints 2024-01-19 02:41:05,109 root INFO copying pythondata_cpu_cv32e41p/system_verilog/constraints/cv32e41p_core.sdc -> build/lib/pythondata_cpu_cv32e41p/system_verilog/constraints 2024-01-19 02:41:05,109 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,109 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_aligner.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,110 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_alu.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,110 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_alu_div.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,110 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_apu_disp.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,110 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_controller.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,111 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_core.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,111 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_cs_registers.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,112 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_ex_stage.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,112 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_ff_one.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,112 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_fifo.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,112 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_hwloop_regs.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,113 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_id_stage.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,113 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_if_stage.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,113 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_int_controller.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,114 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_load_store_unit.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,114 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_merged_decoder.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,115 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_mult.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,115 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_obi_interface.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,115 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_popcnt.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,115 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_prefetch_buffer.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,116 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_prefetch_controller.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,116 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_register_file_ff.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,116 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_register_file_latch.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,116 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_sleep_unit.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,117 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/Jenkinsfile -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 02:41:05,117 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/build-riscv-gcc.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 02:41:05,117 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/download-pulp-gcc.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 02:41:05,117 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/get-openocd.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 02:41:05,117 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/install-verilator.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 02:41:05,118 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/make-tmp.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 02:41:05,118 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/run-openocd-compliance.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 02:41:05,118 root INFO copying pythondata_cpu_cv32e41p/system_verilog/ci/veri-run-openocd-compliance.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 02:41:05,118 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/sva 2024-01-19 02:41:05,119 root INFO copying pythondata_cpu_cv32e41p/system_verilog/sva/cv32e41p_prefetch_controller_sva.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/sva 2024-01-19 02:41:05,119 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/.gitignore -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs 2024-01-19 02:41:05,119 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/Makefile -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs 2024-01-19 02:41:05,119 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/make.bat -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs 2024-01-19 02:41:05,119 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/requirements.txt -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs 2024-01-19 02:41:05,120 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb 2024-01-19 02:41:05,120 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/README.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb 2024-01-19 02:41:05,120 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 02:41:05,120 root INFO copying pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_apu_tracer.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 02:41:05,120 root INFO copying pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_core_log.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 02:41:05,121 root INFO copying pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_instr_trace.svh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 02:41:05,121 root INFO copying pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_sim_clock_gate.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 02:41:05,121 root INFO copying pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_tracer.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 02:41:05,121 root INFO copying pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_wrapper.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 02:41:05,122 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-01-19 02:41:05,122 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_apu_core_pkg.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-01-19 02:41:05,122 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_fpu_pkg.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-01-19 02:41:05,122 root INFO copying pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_pkg.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-01-19 02:41:05,122 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,123 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/Back_to_Back_Memory_Transaction.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,123 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/Basic_Memory_Transaction.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,123 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Block_Diagram.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,124 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Block_Diagram.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,124 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Pipeline.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,124 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/Events_PCCR_PCMR_PCER.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,125 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/Slow_Response_Memory_Transaction.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,125 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/blockdiagram.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,125 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/debug_halted.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,125 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/debug_running.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,126 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/load_event.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,126 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_back_to_back.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,126 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_basic.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,127 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_multiple_outstanding.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,127 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_slow_response.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,127 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_instruction_basic.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,128 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_instruction_multiple_outstanding.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,128 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/openhw-circle.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,128 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/openhw-landscape.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,128 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/riscv_prefetch_buffer.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,129 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/rtl_freeze_rules.png -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,129 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/wfi.svg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,130 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/apu.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,130 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/control_status_registers.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,130 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/core_versions.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,130 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/corev_hw_loop.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,131 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/debug.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,131 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/exceptions_interrupts.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,131 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/fpu.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,131 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/getting_started.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,131 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/glossary.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,132 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/index.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,132 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/instruction_fetch.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,132 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/instruction_set_extensions.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,133 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/integration.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,133 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/intro.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,133 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/list.issue -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,133 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/load_store_unit.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,134 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/perf_counters.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,134 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/pipeline.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,134 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/register_file.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,134 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/sleep.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,135 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/source/tracer.rst -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,135 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,135 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/Events_PCCR_PCMR_and_PCER.odg -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,135 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/debug_halted.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,136 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/debug_running.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,136 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/load_event.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,136 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_back_to_back.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,136 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_basic.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,137 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_multiple_outstanding.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,137 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_slow_response.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,137 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_instruction_basic.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,138 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_instruction_multiple_outstanding.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,138 root INFO copying pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/wfi.tim -> build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,138 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts 2024-01-19 02:41:05,138 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-01-19 02:41:05,139 root INFO copying pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/README.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-01-19 02:41:05,139 root INFO copying pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/cv32e41p_lec_cmp.csh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-01-19 02:41:05,139 root INFO copying pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/cv32e41p_lec_conformal.sh -> build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-01-19 02:41:05,139 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/scripts 2024-01-19 02:41:05,139 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/scripts/pulptrace -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/scripts 2024-01-19 02:41:05,140 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,140 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/.clang-format -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,140 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/.gitignore -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,140 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/Makefile -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,140 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/README.md -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,141 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/amo_shim.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,141 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_fp_wrapper.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,141 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_random_interrupt_generator.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,141 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_tb_subsystem.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,142 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/dp_ram.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,142 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mm_ram.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,142 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/riscv_gnt_stall.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,142 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/riscv_rvalid_stall.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,142 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/software.tcl -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,143 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/tb_top.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,143 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/vsim.tcl -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,143 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/waves.tcl -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,143 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/include 2024-01-19 02:41:05,143 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/include/perturbation_pkg.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/include 2024-01-19 02:41:05,144 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 02:41:05,144 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/crt0.S -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 02:41:05,144 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/hello_world.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 02:41:05,144 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/link.ld -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 02:41:05,145 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/syscalls.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 02:41:05,145 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/vectors.S -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 02:41:05,145 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp 2024-01-19 02:41:05,145 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp/main.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp 2024-01-19 02:41:05,145 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp/matmulNxN.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp 2024-01-19 02:41:05,146 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall 2024-01-19 02:41:05,146 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall/mem_stall.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall 2024-01-19 02:41:05,146 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall/mem_stall.h -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall 2024-01-19 02:41:05,146 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-01-19 02:41:05,146 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/interrupt.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-01-19 02:41:05,146 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/isr.h -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-01-19 02:41:05,147 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/matrix.h -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-01-19 02:41:05,147 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/vectors.S -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-01-19 02:41:05,147 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test 2024-01-19 02:41:05,147 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test/hwlp.h -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test 2024-01-19 02:41:05,148 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test/hwlp_test.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test 2024-01-19 02:41:05,148 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/firmware 2024-01-19 02:41:05,148 root INFO copying pythondata_cpu_cv32e41p/system_verilog/example_tb/core/firmware/stats.c -> build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/firmware 2024-01-19 02:41:05,148 root INFO creating build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/include 2024-01-19 02:41:05,148 root INFO copying pythondata_cpu_cv32e41p/system_verilog/bhv/include/cv32e41p_tracer_pkg.sv -> build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/include 2024-01-19 02:41:05,155 wheel INFO installing to build/bdist.linux-x86_64/wheel 2024-01-19 02:41:05,155 root INFO running install 2024-01-19 02:41:05,163 root INFO running install_lib 2024-01-19 02:41:05,165 root INFO creating build/bdist.linux-x86_64 2024-01-19 02:41:05,165 root INFO creating build/bdist.linux-x86_64/wheel 2024-01-19 02:41:05,166 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p 2024-01-19 02:41:05,166 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 02:41:05,166 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/src_files.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 02:41:05,166 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/constraints 2024-01-19 02:41:05,166 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/constraints/cv32e41p_core.sdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/constraints 2024-01-19 02:41:05,167 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.gitlab-ci.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 02:41:05,167 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/.github 2024-01-19 02:41:05,167 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:05,167 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/config.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:05,167 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/enhancement.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:05,168 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/task.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:05,168 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/question.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:05,168 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/bug.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:05,168 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 02:41:05,168 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/LICENSE -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 02:41:05,169 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 02:41:05,169 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,169 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_id_stage.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,169 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_core.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,170 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_cs_registers.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,170 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_if_stage.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,170 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_prefetch_buffer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,170 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_obi_interface.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,170 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_mult.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,171 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-01-19 02:41:05,171 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_fpu_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-01-19 02:41:05,171 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-01-19 02:41:05,171 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_apu_core_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl/include 2024-01-19 02:41:05,172 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_fifo.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,172 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_prefetch_controller.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,172 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_register_file_ff.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,172 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_ex_stage.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,172 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_apu_disp.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,173 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_alu.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,173 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_load_store_unit.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,173 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_alu_div.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,173 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_int_controller.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,174 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_register_file_latch.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,174 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_sleep_unit.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,174 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_controller.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,174 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_merged_decoder.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,175 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_aligner.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,175 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_popcnt.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,175 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_ff_one.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,175 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_hwloop_regs.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/rtl 2024-01-19 02:41:05,175 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.dir-locals.el -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 02:41:05,176 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/CONTRIBUTING.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 02:41:05,176 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 02:41:05,176 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/get-openocd.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 02:41:05,176 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/rv32tests-to-junit.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 02:41:05,176 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/make-tmp.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 02:41:05,177 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/install-verilator.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 02:41:05,177 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/download-pulp-gcc.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 02:41:05,177 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/run-openocd-compliance.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 02:41:05,177 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/openocd-to-junit.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 02:41:05,177 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/veri-run-openocd-compliance.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 02:41:05,178 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/build-riscv-gcc.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 02:41:05,178 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/ci/Jenkinsfile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/ci 2024-01-19 02:41:05,178 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/sva 2024-01-19 02:41:05,178 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/sva/cv32e41p_prefetch_controller_sva.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/sva 2024-01-19 02:41:05,178 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/.travis.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 02:41:05,179 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs 2024-01-19 02:41:05,179 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/make.bat -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs 2024-01-19 02:41:05,179 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,179 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/wfi.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,179 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/load_event.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,180 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Pipeline.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,180 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/riscv_prefetch_buffer.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,180 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/openhw-circle.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,180 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/Slow_Response_Memory_Transaction.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,181 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,181 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_back_to_back.tim -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,181 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_instruction_basic.tim -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,181 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/load_event.tim -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,182 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/wfi.tim -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,182 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_multiple_outstanding.tim -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,182 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_instruction_multiple_outstanding.tim -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,182 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/debug_running.tim -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,183 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/Events_PCCR_PCMR_and_PCER.odg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,183 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/debug_halted.tim -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,183 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_basic.tim -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,184 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_slow_response.tim -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources 2024-01-19 02:41:05,184 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_basic.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,184 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_instruction_basic.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,184 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/Basic_Memory_Transaction.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,185 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_slow_response.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,185 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/blockdiagram.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,185 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/Events_PCCR_PCMR_PCER.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,186 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/rtl_freeze_rules.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,186 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_instruction_multiple_outstanding.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,186 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_multiple_outstanding.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,186 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/openhw-landscape.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,187 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/debug_running.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,187 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Block_Diagram.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,188 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_back_to_back.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,188 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/debug_halted.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,188 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/Back_to_Back_Memory_Transaction.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,188 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Block_Diagram.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/images 2024-01-19 02:41:05,189 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs 2024-01-19 02:41:05,189 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs 2024-01-19 02:41:05,189 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,189 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/glossary.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,189 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/getting_started.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,190 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/instruction_fetch.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,190 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/exceptions_interrupts.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,190 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/intro.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,190 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/instruction_set_extensions.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,191 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/control_status_registers.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,191 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/pipeline.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,191 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/perf_counters.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,191 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/list.issue -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,192 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/corev_hw_loop.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,192 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/integration.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,192 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/conf.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,192 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/debug.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,192 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/sleep.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,193 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/core_versions.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,193 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/tracer.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,193 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/index.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,193 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/apu.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,194 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/register_file.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,194 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/fpu.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,194 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/source/load_store_unit.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs/source 2024-01-19 02:41:05,194 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/docs/requirements.txt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/docs 2024-01-19 02:41:05,194 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/scripts 2024-01-19 02:41:05,195 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-01-19 02:41:05,195 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-01-19 02:41:05,195 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/cv32e41p_lec_conformal.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-01-19 02:41:05,195 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/cv32e41p_lec_cmp.csh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal 2024-01-19 02:41:05,195 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb 2024-01-19 02:41:05,195 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb 2024-01-19 02:41:05,196 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/scripts 2024-01-19 02:41:05,196 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/scripts/pulptrace -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/scripts 2024-01-19 02:41:05,196 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,196 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/software.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,196 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/riscv_gnt_stall.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,197 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/dp_ram.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,197 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_random_interrupt_generator.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,197 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,197 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/tb_top.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,197 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/include 2024-01-19 02:41:05,198 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/include/perturbation_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/include 2024-01-19 02:41:05,198 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_fp_wrapper.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,198 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/waves.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,198 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,198 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_tb_subsystem.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,199 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 02:41:05,199 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/vectors.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 02:41:05,199 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/crt0.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 02:41:05,199 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/syscalls.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 02:41:05,199 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/link.ld -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 02:41:05,200 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/hello_world.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom 2024-01-19 02:41:05,200 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/vsim.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,200 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/riscv_rvalid_stall.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,200 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp 2024-01-19 02:41:05,200 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp/matmulNxN.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp 2024-01-19 02:41:05,201 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp/main.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp 2024-01-19 02:41:05,201 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall 2024-01-19 02:41:05,201 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall/mem_stall.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall 2024-01-19 02:41:05,201 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall/mem_stall.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall 2024-01-19 02:41:05,201 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/.clang-format -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,202 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-01-19 02:41:05,202 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/isr.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-01-19 02:41:05,202 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/vectors.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-01-19 02:41:05,202 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/matrix.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-01-19 02:41:05,202 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/interrupt.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt 2024-01-19 02:41:05,202 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mm_ram.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,203 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/amo_shim.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,203 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core 2024-01-19 02:41:05,203 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test 2024-01-19 02:41:05,203 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test/hwlp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test 2024-01-19 02:41:05,204 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test/hwlp.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test 2024-01-19 02:41:05,204 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/firmware 2024-01-19 02:41:05,204 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/firmware/stats.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/example_tb/core/firmware 2024-01-19 02:41:05,204 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 02:41:05,204 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_core_log.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 02:41:05,205 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv/include 2024-01-19 02:41:05,205 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/include/cv32e41p_tracer_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv/include 2024-01-19 02:41:05,205 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_tracer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 02:41:05,205 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_sim_clock_gate.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 02:41:05,205 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_apu_tracer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 02:41:05,206 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_wrapper.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 02:41:05,206 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_instr_trace.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog/bhv 2024-01-19 02:41:05,206 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/cv32e41p_manifest.flist -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 02:41:05,206 root INFO copying build/lib/pythondata_cpu_cv32e41p/system_verilog/Bender.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p/system_verilog 2024-01-19 02:41:05,206 root INFO copying build/lib/pythondata_cpu_cv32e41p/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p 2024-01-19 02:41:05,207 root INFO running install_egg_info 2024-01-19 02:41:05,210 root INFO Copying pythondata_cpu_cv32e41p.egg-info to build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p-0.0.post1883-py3.11.egg-info 2024-01-19 02:41:05,211 root INFO running install_scripts 2024-01-19 02:41:05,213 wheel INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cv32e41p-0.0.post1883.dist-info/WHEEL 2024-01-19 02:41:05,213 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/pythondata-cpu-cv32e41p-2023.12/dist/.tmp-uzgxtum3/pythondata_cpu_cv32e41p-0.0.post1883-py3-none-any.whl' and adding 'build/bdist.linux-x86_64/wheel' to it 2024-01-19 02:41:05,214 wheel INFO adding 'pythondata_cpu_cv32e41p/__init__.py' 2024-01-19 02:41:05,214 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.dir-locals.el' 2024-01-19 02:41:05,214 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.gitignore' 2024-01-19 02:41:05,215 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.gitlab-ci.yml' 2024-01-19 02:41:05,215 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.travis.yml' 2024-01-19 02:41:05,215 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/Bender.yml' 2024-01-19 02:41:05,215 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/CONTRIBUTING.md' 2024-01-19 02:41:05,216 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/LICENSE' 2024-01-19 02:41:05,216 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/README.md' 2024-01-19 02:41:05,216 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/cv32e41p_manifest.flist' 2024-01-19 02:41:05,216 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/src_files.yml' 2024-01-19 02:41:05,217 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/bug.md' 2024-01-19 02:41:05,217 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/config.yml' 2024-01-19 02:41:05,217 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/enhancement.md' 2024-01-19 02:41:05,217 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/question.md' 2024-01-19 02:41:05,218 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/.github/ISSUE_TEMPLATE/task.md' 2024-01-19 02:41:05,218 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_apu_tracer.sv' 2024-01-19 02:41:05,218 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_core_log.sv' 2024-01-19 02:41:05,218 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_instr_trace.svh' 2024-01-19 02:41:05,219 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_sim_clock_gate.sv' 2024-01-19 02:41:05,219 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_tracer.sv' 2024-01-19 02:41:05,219 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/bhv/cv32e41p_wrapper.sv' 2024-01-19 02:41:05,220 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/bhv/include/cv32e41p_tracer_pkg.sv' 2024-01-19 02:41:05,220 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/Jenkinsfile' 2024-01-19 02:41:05,220 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/build-riscv-gcc.sh' 2024-01-19 02:41:05,220 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/download-pulp-gcc.sh' 2024-01-19 02:41:05,221 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/get-openocd.sh' 2024-01-19 02:41:05,221 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/install-verilator.sh' 2024-01-19 02:41:05,221 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/make-tmp.sh' 2024-01-19 02:41:05,221 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/openocd-to-junit.py' 2024-01-19 02:41:05,221 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/run-openocd-compliance.sh' 2024-01-19 02:41:05,222 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/rv32tests-to-junit.py' 2024-01-19 02:41:05,222 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/ci/veri-run-openocd-compliance.sh' 2024-01-19 02:41:05,222 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/constraints/cv32e41p_core.sdc' 2024-01-19 02:41:05,222 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/.gitignore' 2024-01-19 02:41:05,223 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/Makefile' 2024-01-19 02:41:05,223 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/make.bat' 2024-01-19 02:41:05,223 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/requirements.txt' 2024-01-19 02:41:05,224 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/Back_to_Back_Memory_Transaction.png' 2024-01-19 02:41:05,224 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/Basic_Memory_Transaction.png' 2024-01-19 02:41:05,225 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Block_Diagram.png' 2024-01-19 02:41:05,226 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Block_Diagram.svg' 2024-01-19 02:41:05,227 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/CV32E40P_Pipeline.png' 2024-01-19 02:41:05,227 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/Events_PCCR_PCMR_PCER.png' 2024-01-19 02:41:05,228 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/Slow_Response_Memory_Transaction.png' 2024-01-19 02:41:05,228 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/blockdiagram.svg' 2024-01-19 02:41:05,229 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/debug_halted.svg' 2024-01-19 02:41:05,229 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/debug_running.svg' 2024-01-19 02:41:05,230 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/load_event.svg' 2024-01-19 02:41:05,231 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_back_to_back.svg' 2024-01-19 02:41:05,231 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_basic.svg' 2024-01-19 02:41:05,232 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_multiple_outstanding.svg' 2024-01-19 02:41:05,232 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_data_slow_response.svg' 2024-01-19 02:41:05,233 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_instruction_basic.svg' 2024-01-19 02:41:05,233 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/obi_instruction_multiple_outstanding.svg' 2024-01-19 02:41:05,233 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/openhw-circle.svg' 2024-01-19 02:41:05,234 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/openhw-landscape.svg' 2024-01-19 02:41:05,234 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/riscv_prefetch_buffer.png' 2024-01-19 02:41:05,235 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/rtl_freeze_rules.png' 2024-01-19 02:41:05,236 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/wfi.svg' 2024-01-19 02:41:05,237 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/Events_PCCR_PCMR_and_PCER.odg' 2024-01-19 02:41:05,237 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/debug_halted.tim' 2024-01-19 02:41:05,238 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/debug_running.tim' 2024-01-19 02:41:05,238 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/load_event.tim' 2024-01-19 02:41:05,239 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_back_to_back.tim' 2024-01-19 02:41:05,240 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_basic.tim' 2024-01-19 02:41:05,240 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_multiple_outstanding.tim' 2024-01-19 02:41:05,241 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_data_slow_response.tim' 2024-01-19 02:41:05,241 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_instruction_basic.tim' 2024-01-19 02:41:05,242 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/obi_instruction_multiple_outstanding.tim' 2024-01-19 02:41:05,242 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/images/image_sources/wfi.tim' 2024-01-19 02:41:05,243 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/apu.rst' 2024-01-19 02:41:05,243 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/conf.py' 2024-01-19 02:41:05,243 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/control_status_registers.rst' 2024-01-19 02:41:05,244 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/core_versions.rst' 2024-01-19 02:41:05,244 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/corev_hw_loop.rst' 2024-01-19 02:41:05,244 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/debug.rst' 2024-01-19 02:41:05,245 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/exceptions_interrupts.rst' 2024-01-19 02:41:05,245 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/fpu.rst' 2024-01-19 02:41:05,245 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/getting_started.rst' 2024-01-19 02:41:05,245 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/glossary.rst' 2024-01-19 02:41:05,246 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/index.rst' 2024-01-19 02:41:05,246 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/instruction_fetch.rst' 2024-01-19 02:41:05,246 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/instruction_set_extensions.rst' 2024-01-19 02:41:05,247 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/integration.rst' 2024-01-19 02:41:05,248 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/intro.rst' 2024-01-19 02:41:05,248 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/list.issue' 2024-01-19 02:41:05,248 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/load_store_unit.rst' 2024-01-19 02:41:05,248 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/perf_counters.rst' 2024-01-19 02:41:05,249 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/pipeline.rst' 2024-01-19 02:41:05,249 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/register_file.rst' 2024-01-19 02:41:05,249 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/sleep.rst' 2024-01-19 02:41:05,250 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/docs/source/tracer.rst' 2024-01-19 02:41:05,250 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/README.md' 2024-01-19 02:41:05,250 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/.clang-format' 2024-01-19 02:41:05,250 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/.gitignore' 2024-01-19 02:41:05,251 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/Makefile' 2024-01-19 02:41:05,251 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/README.md' 2024-01-19 02:41:05,251 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/amo_shim.sv' 2024-01-19 02:41:05,251 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_fp_wrapper.sv' 2024-01-19 02:41:05,252 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_random_interrupt_generator.sv' 2024-01-19 02:41:05,252 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/cv32e41p_tb_subsystem.sv' 2024-01-19 02:41:05,252 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/dp_ram.sv' 2024-01-19 02:41:05,252 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mm_ram.sv' 2024-01-19 02:41:05,253 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/riscv_gnt_stall.sv' 2024-01-19 02:41:05,253 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/riscv_rvalid_stall.sv' 2024-01-19 02:41:05,253 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/software.tcl' 2024-01-19 02:41:05,253 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/tb_top.sv' 2024-01-19 02:41:05,254 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/vsim.tcl' 2024-01-19 02:41:05,254 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/waves.tcl' 2024-01-19 02:41:05,254 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/crt0.S' 2024-01-19 02:41:05,254 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/hello_world.c' 2024-01-19 02:41:05,255 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/link.ld' 2024-01-19 02:41:05,255 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/syscalls.c' 2024-01-19 02:41:05,255 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom/vectors.S' 2024-01-19 02:41:05,255 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp/main.c' 2024-01-19 02:41:05,256 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/custom_fp/matmulNxN.c' 2024-01-19 02:41:05,256 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/firmware/stats.c' 2024-01-19 02:41:05,256 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test/hwlp.h' 2024-01-19 02:41:05,256 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/hwlp_test/hwlp_test.c' 2024-01-19 02:41:05,257 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/include/perturbation_pkg.sv' 2024-01-19 02:41:05,257 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/interrupt.c' 2024-01-19 02:41:05,257 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/isr.h' 2024-01-19 02:41:05,257 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/matrix.h' 2024-01-19 02:41:05,258 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/interrupt/vectors.S' 2024-01-19 02:41:05,258 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall/mem_stall.c' 2024-01-19 02:41:05,258 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/core/mem_stall/mem_stall.h' 2024-01-19 02:41:05,258 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/example_tb/scripts/pulptrace' 2024-01-19 02:41:05,259 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_aligner.sv' 2024-01-19 02:41:05,259 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_alu.sv' 2024-01-19 02:41:05,259 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_alu_div.sv' 2024-01-19 02:41:05,260 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_apu_disp.sv' 2024-01-19 02:41:05,260 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_controller.sv' 2024-01-19 02:41:05,261 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_core.sv' 2024-01-19 02:41:05,261 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_cs_registers.sv' 2024-01-19 02:41:05,262 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_ex_stage.sv' 2024-01-19 02:41:05,262 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_ff_one.sv' 2024-01-19 02:41:05,262 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_fifo.sv' 2024-01-19 02:41:05,263 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_hwloop_regs.sv' 2024-01-19 02:41:05,263 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_id_stage.sv' 2024-01-19 02:41:05,264 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_if_stage.sv' 2024-01-19 02:41:05,264 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_int_controller.sv' 2024-01-19 02:41:05,264 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_load_store_unit.sv' 2024-01-19 02:41:05,265 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_merged_decoder.sv' 2024-01-19 02:41:05,266 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_mult.sv' 2024-01-19 02:41:05,266 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_obi_interface.sv' 2024-01-19 02:41:05,266 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_popcnt.sv' 2024-01-19 02:41:05,266 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_prefetch_buffer.sv' 2024-01-19 02:41:05,267 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_prefetch_controller.sv' 2024-01-19 02:41:05,267 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_register_file_ff.sv' 2024-01-19 02:41:05,267 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_register_file_latch.sv' 2024-01-19 02:41:05,268 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/cv32e41p_sleep_unit.sv' 2024-01-19 02:41:05,268 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_apu_core_pkg.sv' 2024-01-19 02:41:05,268 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_fpu_pkg.sv' 2024-01-19 02:41:05,268 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/rtl/include/cv32e41p_pkg.sv' 2024-01-19 02:41:05,269 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/README.md' 2024-01-19 02:41:05,269 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/cv32e41p_lec_cmp.csh' 2024-01-19 02:41:05,269 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/scripts/cadence_conformal/cv32e41p_lec_conformal.sh' 2024-01-19 02:41:05,269 wheel INFO adding 'pythondata_cpu_cv32e41p/system_verilog/sva/cv32e41p_prefetch_controller_sva.sv' 2024-01-19 02:41:05,270 wheel INFO adding 'pythondata_cpu_cv32e41p-0.0.post1883.dist-info/LICENSE' 2024-01-19 02:41:05,270 wheel INFO adding 'pythondata_cpu_cv32e41p-0.0.post1883.dist-info/METADATA' 2024-01-19 02:41:05,270 wheel INFO adding 'pythondata_cpu_cv32e41p-0.0.post1883.dist-info/WHEEL' 2024-01-19 02:41:05,270 wheel INFO adding 'pythondata_cpu_cv32e41p-0.0.post1883.dist-info/top_level.txt' 2024-01-19 02:41:05,271 wheel INFO adding 'pythondata_cpu_cv32e41p-0.0.post1883.dist-info/RECORD' 2024-01-19 02:41:05,272 wheel INFO removing build/bdist.linux-x86_64/wheel 2024-01-19 02:41:05,277 gpep517 INFO The backend produced dist/pythondata_cpu_cv32e41p-0.0.post1883-py3-none-any.whl pythondata_cpu_cv32e41p-0.0.post1883-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-cva5 2024-01-19 02:41:05,485 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 02:41:05,516 root INFO running bdist_wheel 2024-01-19 02:41:05,532 root INFO running build 2024-01-19 02:41:05,532 root INFO running build_py 2024-01-19 02:41:05,534 root INFO creating build 2024-01-19 02:41:05,535 root INFO creating build/lib 2024-01-19 02:41:05,535 root INFO creating build/lib/pythondata_cpu_cva5 2024-01-19 02:41:05,535 root INFO copying pythondata_cpu_cva5/__init__.py -> build/lib/pythondata_cpu_cva5 2024-01-19 02:41:05,536 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog 2024-01-19 02:41:05,536 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/tools 2024-01-19 02:41:05,536 root INFO copying pythondata_cpu_cva5/system_verilog/tools/elf-to-hw-init.py -> build/lib/pythondata_cpu_cva5/system_verilog/tools 2024-01-19 02:41:05,538 root INFO running egg_info 2024-01-19 02:41:05,538 root INFO creating pythondata_cpu_cva5.egg-info 2024-01-19 02:41:05,539 root INFO writing pythondata_cpu_cva5.egg-info/PKG-INFO 2024-01-19 02:41:05,540 root INFO writing dependency_links to pythondata_cpu_cva5.egg-info/dependency_links.txt 2024-01-19 02:41:05,540 root INFO writing top-level names to pythondata_cpu_cva5.egg-info/top_level.txt 2024-01-19 02:41:05,540 root INFO writing manifest file 'pythondata_cpu_cva5.egg-info/SOURCES.txt' 2024-01-19 02:41:05,546 root INFO reading manifest file 'pythondata_cpu_cva5.egg-info/SOURCES.txt' 2024-01-19 02:41:05,546 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 02:41:05,549 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 02:41:05,549 root INFO adding license file 'LICENSE' 2024-01-19 02:41:05,551 root INFO writing manifest file 'pythondata_cpu_cva5.egg-info/SOURCES.txt' 2024-01-19 02:41:05,556 root INFO copying pythondata_cpu_cva5/system_verilog/.gitlab-ci.yml -> build/lib/pythondata_cpu_cva5/system_verilog 2024-01-19 02:41:05,557 root INFO copying pythondata_cpu_cva5/system_verilog/LICENSE -> build/lib/pythondata_cpu_cva5/system_verilog 2024-01-19 02:41:05,557 root INFO copying pythondata_cpu_cva5/system_verilog/README.md -> build/lib/pythondata_cpu_cva5/system_verilog 2024-01-19 02:41:05,557 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 02:41:05,557 root INFO copying pythondata_cpu_cva5/system_verilog/debug_module/.gitkeep -> build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 02:41:05,558 root INFO copying pythondata_cpu_cva5/system_verilog/debug_module/debug_cfg_types.sv -> build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 02:41:05,558 root INFO copying pythondata_cpu_cva5/system_verilog/debug_module/debug_interfaces.sv -> build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 02:41:05,558 root INFO copying pythondata_cpu_cva5/system_verilog/debug_module/debug_module.sv -> build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 02:41:05,558 root INFO copying pythondata_cpu_cva5/system_verilog/debug_module/jtag_module.sv -> build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 02:41:05,559 root INFO copying pythondata_cpu_cva5/system_verilog/debug_module/jtag_register.sv -> build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 02:41:05,559 root INFO copying pythondata_cpu_cva5/system_verilog/debug_module/jtag_registers.sv -> build/lib/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 02:41:05,559 root INFO copying pythondata_cpu_cva5/system_verilog/tools/.gitignore -> build/lib/pythondata_cpu_cva5/system_verilog/tools 2024-01-19 02:41:05,559 root INFO copying pythondata_cpu_cva5/system_verilog/tools/compile_order -> build/lib/pythondata_cpu_cva5/system_verilog/tools 2024-01-19 02:41:05,560 root INFO copying pythondata_cpu_cva5/system_verilog/tools/cva5.mak -> build/lib/pythondata_cpu_cva5/system_verilog/tools 2024-01-19 02:41:05,560 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/local_memory 2024-01-19 02:41:05,560 root INFO copying pythondata_cpu_cva5/system_verilog/local_memory/local_mem.sv -> build/lib/pythondata_cpu_cva5/system_verilog/local_memory 2024-01-19 02:41:05,560 root INFO copying pythondata_cpu_cva5/system_verilog/local_memory/local_memory_interface.sv -> build/lib/pythondata_cpu_cva5/system_verilog/local_memory 2024-01-19 02:41:05,561 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 02:41:05,561 root INFO copying pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_arbiter.sv -> build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 02:41:05,561 root INFO copying pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_config_and_types.sv -> build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 02:41:05,561 root INFO copying pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_external_interfaces.sv -> build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 02:41:05,562 root INFO copying pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_fifo.sv -> build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 02:41:05,562 root INFO copying pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_interfaces.sv -> build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 02:41:05,562 root INFO copying pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_reservation_logic.sv -> build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 02:41:05,562 root INFO copying pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_round_robin.sv -> build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 02:41:05,563 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/test_benches 2024-01-19 02:41:05,563 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/axi_mem_sim.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches 2024-01-19 02:41:05,563 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/cva5_tb.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches 2024-01-19 02:41:05,563 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/cva5_tb.wcfg -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches 2024-01-19 02:41:05,564 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/sim_mem.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches 2024-01-19 02:41:05,564 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,564 root INFO copying pythondata_cpu_cva5/system_verilog/core/addr_hash.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,564 root INFO copying pythondata_cpu_cva5/system_verilog/core/alu_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,565 root INFO copying pythondata_cpu_cva5/system_verilog/core/amo_alu.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,565 root INFO copying pythondata_cpu_cva5/system_verilog/core/avalon_master.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,565 root INFO copying pythondata_cpu_cva5/system_verilog/core/axi_master.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,565 root INFO copying pythondata_cpu_cva5/system_verilog/core/axi_to_arb.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,566 root INFO copying pythondata_cpu_cva5/system_verilog/core/barrel_shifter.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,566 root INFO copying pythondata_cpu_cva5/system_verilog/core/binary_occupancy.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,566 root INFO copying pythondata_cpu_cva5/system_verilog/core/branch_comparator.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,566 root INFO copying pythondata_cpu_cva5/system_verilog/core/branch_predictor.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,567 root INFO copying pythondata_cpu_cva5/system_verilog/core/branch_predictor_ram.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,567 root INFO copying pythondata_cpu_cva5/system_verilog/core/branch_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,567 root INFO copying pythondata_cpu_cva5/system_verilog/core/byte_en_BRAM.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,567 root INFO copying pythondata_cpu_cva5/system_verilog/core/clz.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,568 root INFO copying pythondata_cpu_cva5/system_verilog/core/csr_types.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,568 root INFO copying pythondata_cpu_cva5/system_verilog/core/csr_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,568 root INFO copying pythondata_cpu_cva5/system_verilog/core/cva5.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,568 root INFO copying pythondata_cpu_cva5/system_verilog/core/cva5_config.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,569 root INFO copying pythondata_cpu_cva5/system_verilog/core/cva5_fifo.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,569 root INFO copying pythondata_cpu_cva5/system_verilog/core/cva5_types.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,569 root INFO copying pythondata_cpu_cva5/system_verilog/core/cycler.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,569 root INFO copying pythondata_cpu_cva5/system_verilog/core/dcache.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,570 root INFO copying pythondata_cpu_cva5/system_verilog/core/ddata_bank.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,570 root INFO copying pythondata_cpu_cva5/system_verilog/core/decode_and_issue.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,570 root INFO copying pythondata_cpu_cva5/system_verilog/core/div_core.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,570 root INFO copying pythondata_cpu_cva5/system_verilog/core/div_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,571 root INFO copying pythondata_cpu_cva5/system_verilog/core/dtag_banks.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,571 root INFO copying pythondata_cpu_cva5/system_verilog/core/external_interfaces.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,571 root INFO copying pythondata_cpu_cva5/system_verilog/core/fetch.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,571 root INFO copying pythondata_cpu_cva5/system_verilog/core/gc_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,572 root INFO copying pythondata_cpu_cva5/system_verilog/core/icache.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,572 root INFO copying pythondata_cpu_cva5/system_verilog/core/illegal_instruction_checker.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,572 root INFO copying pythondata_cpu_cva5/system_verilog/core/instruction_metadata_and_id_management.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,572 root INFO copying pythondata_cpu_cva5/system_verilog/core/interfaces.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,573 root INFO copying pythondata_cpu_cva5/system_verilog/core/itag_banks.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,573 root INFO copying pythondata_cpu_cva5/system_verilog/core/l1_arbiter.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,573 root INFO copying pythondata_cpu_cva5/system_verilog/core/lfsr.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,573 root INFO copying pythondata_cpu_cva5/system_verilog/core/load_store_queue.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,573 root INFO copying pythondata_cpu_cva5/system_verilog/core/load_store_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,574 root INFO copying pythondata_cpu_cva5/system_verilog/core/local_mem_sub_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,574 root INFO copying pythondata_cpu_cva5/system_verilog/core/mmu.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,574 root INFO copying pythondata_cpu_cva5/system_verilog/core/mul_unit.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,574 root INFO copying pythondata_cpu_cva5/system_verilog/core/one_hot_occupancy.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,575 root INFO copying pythondata_cpu_cva5/system_verilog/core/one_hot_to_integer.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,575 root INFO copying pythondata_cpu_cva5/system_verilog/core/placer_randomizer.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,575 root INFO copying pythondata_cpu_cva5/system_verilog/core/priority_encoder.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,575 root INFO copying pythondata_cpu_cva5/system_verilog/core/ras.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,575 root INFO copying pythondata_cpu_cva5/system_verilog/core/reg_inuse.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,576 root INFO copying pythondata_cpu_cva5/system_verilog/core/register_bank.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,576 root INFO copying pythondata_cpu_cva5/system_verilog/core/register_file.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,576 root INFO copying pythondata_cpu_cva5/system_verilog/core/register_free_list.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,576 root INFO copying pythondata_cpu_cva5/system_verilog/core/renamer.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,577 root INFO copying pythondata_cpu_cva5/system_verilog/core/riscv_types.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,577 root INFO copying pythondata_cpu_cva5/system_verilog/core/set_clr_reg_with_rst.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,577 root INFO copying pythondata_cpu_cva5/system_verilog/core/shift_counter.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,577 root INFO copying pythondata_cpu_cva5/system_verilog/core/store_queue.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,577 root INFO copying pythondata_cpu_cva5/system_verilog/core/tag_bank.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,578 root INFO copying pythondata_cpu_cva5/system_verilog/core/tlb_lut_ram.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,578 root INFO copying pythondata_cpu_cva5/system_verilog/core/toggle_memory.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,578 root INFO copying pythondata_cpu_cva5/system_verilog/core/toggle_memory_set.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,578 root INFO copying pythondata_cpu_cva5/system_verilog/core/wishbone_master.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,579 root INFO copying pythondata_cpu_cva5/system_verilog/core/writeback.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,579 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/formal 2024-01-19 02:41:05,579 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/formal/models 2024-01-19 02:41:05,579 root INFO copying pythondata_cpu_cva5/system_verilog/formal/models/cva5_fbm.sv -> build/lib/pythondata_cpu_cva5/system_verilog/formal/models 2024-01-19 02:41:05,579 root INFO copying pythondata_cpu_cva5/system_verilog/formal/models/cva5_formal_wrapper.sv -> build/lib/pythondata_cpu_cva5/system_verilog/formal/models 2024-01-19 02:41:05,579 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/formal/interfaces 2024-01-19 02:41:05,580 root INFO copying pythondata_cpu_cva5/system_verilog/formal/interfaces/axi4_basic_props.sv -> build/lib/pythondata_cpu_cva5/system_verilog/formal/interfaces 2024-01-19 02:41:05,580 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/formal/scripts 2024-01-19 02:41:05,580 root INFO copying pythondata_cpu_cva5/system_verilog/formal/scripts/cva5_rtl.vfile -> build/lib/pythondata_cpu_cva5/system_verilog/formal/scripts 2024-01-19 02:41:05,580 root INFO copying pythondata_cpu_cva5/system_verilog/formal/scripts/setup_cva5_dev.tcl -> build/lib/pythondata_cpu_cva5/system_verilog/formal/scripts 2024-01-19 02:41:05,580 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/examples 2024-01-19 02:41:05,581 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,581 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/README.md -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,581 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/arm.tcl -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,581 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5.png -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,582 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5_small.png -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,582 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5_wrapper.sv -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,582 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/dhrystone.riscv.hw_init -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,583 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/dhrystone.riscv.sim_init -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,584 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/simulator_output_example.png -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,584 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/system.png -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,584 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/system_periperhals.tcl -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,585 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/xilinx_wiring_sample.png -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,585 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/zedboard.xdc -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,585 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/examples/litex 2024-01-19 02:41:05,585 root INFO copying pythondata_cpu_cva5/system_verilog/examples/litex/l1_to_wishbone.sv -> build/lib/pythondata_cpu_cva5/system_verilog/examples/litex 2024-01-19 02:41:05,586 root INFO copying pythondata_cpu_cva5/system_verilog/examples/litex/litex_wrapper.sv -> build/lib/pythondata_cpu_cva5/system_verilog/examples/litex 2024-01-19 02:41:05,586 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-01-19 02:41:05,586 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/cva5-ip-core-base.tcl -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-01-19 02:41:05,586 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/design_1_wrapper.v -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-01-19 02:41:05,587 root INFO copying pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/zedboard_master_XDC_RevC_D_v3.xdc -> build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-01-19 02:41:05,587 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/scripts 2024-01-19 02:41:05,587 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/scripts/xilinx 2024-01-19 02:41:05,587 root INFO copying pythondata_cpu_cva5/system_verilog/scripts/xilinx/cva5_wrapper_IP.tcl -> build/lib/pythondata_cpu_cva5/system_verilog/scripts/xilinx 2024-01-19 02:41:05,587 root INFO copying pythondata_cpu_cva5/system_verilog/scripts/xilinx/local_memory_IP.tcl -> build/lib/pythondata_cpu_cva5/system_verilog/scripts/xilinx 2024-01-19 02:41:05,588 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 02:41:05,588 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/CVA5Tracer.cc -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 02:41:05,588 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/CVA5Tracer.h -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 02:41:05,588 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/SimMem.cc -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 02:41:05,588 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/SimMem.h -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 02:41:05,589 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/cva5_sim.cc -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 02:41:05,589 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/cva5_sim.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 02:41:05,589 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-01-19 02:41:05,589 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/alu_unit_tb.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-01-19 02:41:05,589 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/div_unit_tb.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-01-19 02:41:05,590 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/mul_unit_tb.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-01-19 02:41:05,590 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 02:41:05,590 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/DDR_init.txt -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 02:41:05,590 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_ddr_sim.cc -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 02:41:05,591 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_ddr_sim.h -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 02:41:05,591 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_interface.h -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 02:41:05,591 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_l2_test.cc -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 02:41:05,591 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_l2_test.sv -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 02:41:05,592 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/ddr_page.cc -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 02:41:05,592 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/ddr_page.h -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 02:41:05,592 root INFO copying pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/main.cc -> build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 02:41:05,592 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/core/xilinx 2024-01-19 02:41:05,592 root INFO copying pythondata_cpu_cva5/system_verilog/core/xilinx/cva5_wrapper_xilinx.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core/xilinx 2024-01-19 02:41:05,592 root INFO copying pythondata_cpu_cva5/system_verilog/core/xilinx/xilinx_byte_enable_ram.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core/xilinx 2024-01-19 02:41:05,593 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/core/intel 2024-01-19 02:41:05,593 root INFO copying pythondata_cpu_cva5/system_verilog/core/intel/intel_byte_enable_ram.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core/intel 2024-01-19 02:41:05,593 root INFO creating build/lib/pythondata_cpu_cva5/system_verilog/core/lutrams 2024-01-19 02:41:05,593 root INFO copying pythondata_cpu_cva5/system_verilog/core/lutrams/lutram_1w_1r.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core/lutrams 2024-01-19 02:41:05,593 root INFO copying pythondata_cpu_cva5/system_verilog/core/lutrams/lutram_1w_mr.sv -> build/lib/pythondata_cpu_cva5/system_verilog/core/lutrams 2024-01-19 02:41:05,600 wheel INFO installing to build/bdist.linux-x86_64/wheel 2024-01-19 02:41:05,600 root INFO running install 2024-01-19 02:41:05,609 root INFO running install_lib 2024-01-19 02:41:05,611 root INFO creating build/bdist.linux-x86_64 2024-01-19 02:41:05,611 root INFO creating build/bdist.linux-x86_64/wheel 2024-01-19 02:41:05,611 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5 2024-01-19 02:41:05,611 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog 2024-01-19 02:41:05,612 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/formal 2024-01-19 02:41:05,612 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/formal/models 2024-01-19 02:41:05,612 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/formal/models/cva5_formal_wrapper.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/formal/models 2024-01-19 02:41:05,612 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/formal/models/cva5_fbm.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/formal/models 2024-01-19 02:41:05,612 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/formal/interfaces 2024-01-19 02:41:05,613 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/formal/interfaces/axi4_basic_props.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/formal/interfaces 2024-01-19 02:41:05,613 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/formal/scripts 2024-01-19 02:41:05,613 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/formal/scripts/cva5_rtl.vfile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/formal/scripts 2024-01-19 02:41:05,613 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/formal/scripts/setup_cva5_dev.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/formal/scripts 2024-01-19 02:41:05,613 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/.gitlab-ci.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog 2024-01-19 02:41:05,614 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog 2024-01-19 02:41:05,614 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/LICENSE -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog 2024-01-19 02:41:05,614 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 02:41:05,614 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/debug_module/jtag_module.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 02:41:05,614 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/debug_module/jtag_registers.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 02:41:05,615 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/debug_module/debug_cfg_types.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 02:41:05,615 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/debug_module/debug_interfaces.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 02:41:05,615 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/debug_module/.gitkeep -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 02:41:05,615 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/debug_module/jtag_register.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 02:41:05,615 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/debug_module/debug_module.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/debug_module 2024-01-19 02:41:05,616 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/tools 2024-01-19 02:41:05,616 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/tools/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/tools 2024-01-19 02:41:05,616 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/tools/cva5.mak -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/tools 2024-01-19 02:41:05,616 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/tools/compile_order -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/tools 2024-01-19 02:41:05,616 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/tools/elf-to-hw-init.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/tools 2024-01-19 02:41:05,617 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/examples 2024-01-19 02:41:05,617 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,617 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5_wrapper.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,617 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/simulator_output_example.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,617 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,618 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5_small.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,618 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/system.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,618 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/system_periperhals.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,618 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/dhrystone.riscv.sim_init -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,619 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/xilinx_wiring_sample.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,619 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/dhrystone.riscv.hw_init -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,620 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/arm.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,620 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-01-19 02:41:05,620 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/zedboard_master_XDC_RevC_D_v3.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-01-19 02:41:05,621 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/cva5-ip-core-base.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-01-19 02:41:05,621 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/design_1_wrapper.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts 2024-01-19 02:41:05,621 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/zedboard.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,621 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/examples/zedboard 2024-01-19 02:41:05,622 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/examples/litex 2024-01-19 02:41:05,622 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/litex/litex_wrapper.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/examples/litex 2024-01-19 02:41:05,622 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/examples/litex/l1_to_wishbone.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/examples/litex 2024-01-19 02:41:05,622 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/local_memory 2024-01-19 02:41:05,622 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/local_memory/local_mem.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/local_memory 2024-01-19 02:41:05,623 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/local_memory/local_memory_interface.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/local_memory 2024-01-19 02:41:05,623 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 02:41:05,623 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_interfaces.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 02:41:05,623 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_arbiter.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 02:41:05,623 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_reservation_logic.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 02:41:05,624 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_fifo.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 02:41:05,624 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_round_robin.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 02:41:05,624 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_external_interfaces.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 02:41:05,624 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_config_and_types.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/l2_arbiter 2024-01-19 02:41:05,624 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/scripts 2024-01-19 02:41:05,625 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/scripts/xilinx 2024-01-19 02:41:05,625 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/scripts/xilinx/local_memory_IP.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/scripts/xilinx 2024-01-19 02:41:05,625 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/scripts/xilinx/cva5_wrapper_IP.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/scripts/xilinx 2024-01-19 02:41:05,625 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches 2024-01-19 02:41:05,625 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/cva5_tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches 2024-01-19 02:41:05,626 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/sim_mem.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches 2024-01-19 02:41:05,626 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/axi_mem_sim.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches 2024-01-19 02:41:05,626 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 02:41:05,626 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/CVA5Tracer.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 02:41:05,627 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/SimMem.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 02:41:05,627 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/cva5_sim.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 02:41:05,627 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/cva5_sim.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 02:41:05,627 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 02:41:05,627 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_l2_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 02:41:05,628 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_ddr_sim.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 02:41:05,628 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_l2_test.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 02:41:05,628 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_ddr_sim.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 02:41:05,628 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_interface.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 02:41:05,628 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/ddr_page.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 02:41:05,629 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/ddr_page.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 02:41:05,629 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/DDR_init.txt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 02:41:05,629 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/main.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation 2024-01-19 02:41:05,629 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/SimMem.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 02:41:05,629 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/verilator/CVA5Tracer.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/verilator 2024-01-19 02:41:05,630 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-01-19 02:41:05,630 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/mul_unit_tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-01-19 02:41:05,630 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/alu_unit_tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-01-19 02:41:05,630 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/div_unit_tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches 2024-01-19 02:41:05,630 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/test_benches/cva5_tb.wcfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/test_benches 2024-01-19 02:41:05,631 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,631 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/tlb_lut_ram.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,631 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/external_interfaces.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,631 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/register_file.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,632 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/toggle_memory_set.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,632 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/amo_alu.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,632 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/branch_comparator.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,632 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/cva5_config.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,632 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/load_store_unit.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,633 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/register_free_list.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,633 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/one_hot_to_integer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,633 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/byte_en_BRAM.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,633 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/register_bank.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,633 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/load_store_queue.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,634 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/axi_to_arb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,634 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/addr_hash.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,634 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/decode_and_issue.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,634 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/dtag_banks.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,634 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core/xilinx 2024-01-19 02:41:05,635 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/xilinx/cva5_wrapper_xilinx.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core/xilinx 2024-01-19 02:41:05,635 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/xilinx/xilinx_byte_enable_ram.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core/xilinx 2024-01-19 02:41:05,635 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/csr_unit.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,635 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/lfsr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,635 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/tag_bank.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,636 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/gc_unit.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,636 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/branch_unit.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,636 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/ddata_bank.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,636 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/local_mem_sub_unit.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,636 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/barrel_shifter.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,637 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/dcache.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,637 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/toggle_memory.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,637 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/alu_unit.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,637 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/wishbone_master.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,638 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/store_queue.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,638 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/placer_randomizer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,638 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/riscv_types.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,638 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/div_unit.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,638 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/fetch.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,639 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/one_hot_occupancy.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,639 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/cva5_types.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,639 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/avalon_master.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,639 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/itag_banks.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,639 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/instruction_metadata_and_id_management.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,640 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/cva5_fifo.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,640 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/binary_occupancy.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,640 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/branch_predictor_ram.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,640 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/clz.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,640 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/axi_master.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,641 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/interfaces.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,641 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/set_clr_reg_with_rst.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,641 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/csr_types.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,641 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/reg_inuse.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,641 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/cva5.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,642 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/priority_encoder.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,642 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/ras.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,642 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/icache.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,642 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core/intel 2024-01-19 02:41:05,642 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/intel/intel_byte_enable_ram.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core/intel 2024-01-19 02:41:05,643 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/shift_counter.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,643 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/div_core.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,643 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/writeback.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,643 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core/lutrams 2024-01-19 02:41:05,643 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/lutrams/lutram_1w_1r.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core/lutrams 2024-01-19 02:41:05,644 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/lutrams/lutram_1w_mr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core/lutrams 2024-01-19 02:41:05,644 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/illegal_instruction_checker.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,644 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/l1_arbiter.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,644 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/mmu.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,644 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/branch_predictor.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,645 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/renamer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,645 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/mul_unit.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,645 root INFO copying build/lib/pythondata_cpu_cva5/system_verilog/core/cycler.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5/system_verilog/core 2024-01-19 02:41:05,645 root INFO copying build/lib/pythondata_cpu_cva5/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5 2024-01-19 02:41:05,645 root INFO running install_egg_info 2024-01-19 02:41:05,649 root INFO Copying pythondata_cpu_cva5.egg-info to build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5-0.0.post649-py3.11.egg-info 2024-01-19 02:41:05,650 root INFO running install_scripts 2024-01-19 02:41:05,652 wheel INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva5-0.0.post649.dist-info/WHEEL 2024-01-19 02:41:05,652 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/pythondata-cpu-cva5-2023.12/dist/.tmp-nkr_7hra/pythondata_cpu_cva5-0.0.post649-py3-none-any.whl' and adding 'build/bdist.linux-x86_64/wheel' to it 2024-01-19 02:41:05,653 wheel INFO adding 'pythondata_cpu_cva5/__init__.py' 2024-01-19 02:41:05,653 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/.gitlab-ci.yml' 2024-01-19 02:41:05,653 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/LICENSE' 2024-01-19 02:41:05,654 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/README.md' 2024-01-19 02:41:05,654 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/addr_hash.sv' 2024-01-19 02:41:05,654 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/alu_unit.sv' 2024-01-19 02:41:05,654 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/amo_alu.sv' 2024-01-19 02:41:05,655 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/avalon_master.sv' 2024-01-19 02:41:05,655 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/axi_master.sv' 2024-01-19 02:41:05,655 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/axi_to_arb.sv' 2024-01-19 02:41:05,655 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/barrel_shifter.sv' 2024-01-19 02:41:05,656 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/binary_occupancy.sv' 2024-01-19 02:41:05,656 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/branch_comparator.sv' 2024-01-19 02:41:05,656 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/branch_predictor.sv' 2024-01-19 02:41:05,656 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/branch_predictor_ram.sv' 2024-01-19 02:41:05,657 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/branch_unit.sv' 2024-01-19 02:41:05,657 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/byte_en_BRAM.sv' 2024-01-19 02:41:05,657 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/clz.sv' 2024-01-19 02:41:05,657 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/csr_types.sv' 2024-01-19 02:41:05,658 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/csr_unit.sv' 2024-01-19 02:41:05,658 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/cva5.sv' 2024-01-19 02:41:05,658 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/cva5_config.sv' 2024-01-19 02:41:05,659 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/cva5_fifo.sv' 2024-01-19 02:41:05,659 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/cva5_types.sv' 2024-01-19 02:41:05,659 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/cycler.sv' 2024-01-19 02:41:05,659 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/dcache.sv' 2024-01-19 02:41:05,660 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/ddata_bank.sv' 2024-01-19 02:41:05,660 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/decode_and_issue.sv' 2024-01-19 02:41:05,660 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/div_core.sv' 2024-01-19 02:41:05,660 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/div_unit.sv' 2024-01-19 02:41:05,661 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/dtag_banks.sv' 2024-01-19 02:41:05,661 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/external_interfaces.sv' 2024-01-19 02:41:05,661 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/fetch.sv' 2024-01-19 02:41:05,662 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/gc_unit.sv' 2024-01-19 02:41:05,662 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/icache.sv' 2024-01-19 02:41:05,662 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/illegal_instruction_checker.sv' 2024-01-19 02:41:05,662 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/instruction_metadata_and_id_management.sv' 2024-01-19 02:41:05,663 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/interfaces.sv' 2024-01-19 02:41:05,663 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/itag_banks.sv' 2024-01-19 02:41:05,663 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/l1_arbiter.sv' 2024-01-19 02:41:05,663 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/lfsr.sv' 2024-01-19 02:41:05,664 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/load_store_queue.sv' 2024-01-19 02:41:05,664 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/load_store_unit.sv' 2024-01-19 02:41:05,664 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/local_mem_sub_unit.sv' 2024-01-19 02:41:05,664 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/mmu.sv' 2024-01-19 02:41:05,665 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/mul_unit.sv' 2024-01-19 02:41:05,665 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/one_hot_occupancy.sv' 2024-01-19 02:41:05,665 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/one_hot_to_integer.sv' 2024-01-19 02:41:05,665 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/placer_randomizer.sv' 2024-01-19 02:41:05,666 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/priority_encoder.sv' 2024-01-19 02:41:05,666 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/ras.sv' 2024-01-19 02:41:05,666 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/reg_inuse.sv' 2024-01-19 02:41:05,666 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/register_bank.sv' 2024-01-19 02:41:05,666 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/register_file.sv' 2024-01-19 02:41:05,667 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/register_free_list.sv' 2024-01-19 02:41:05,667 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/renamer.sv' 2024-01-19 02:41:05,667 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/riscv_types.sv' 2024-01-19 02:41:05,668 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/set_clr_reg_with_rst.sv' 2024-01-19 02:41:05,668 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/shift_counter.sv' 2024-01-19 02:41:05,668 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/store_queue.sv' 2024-01-19 02:41:05,668 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/tag_bank.sv' 2024-01-19 02:41:05,668 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/tlb_lut_ram.sv' 2024-01-19 02:41:05,669 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/toggle_memory.sv' 2024-01-19 02:41:05,669 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/toggle_memory_set.sv' 2024-01-19 02:41:05,669 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/wishbone_master.sv' 2024-01-19 02:41:05,669 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/writeback.sv' 2024-01-19 02:41:05,670 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/intel/intel_byte_enable_ram.sv' 2024-01-19 02:41:05,670 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/lutrams/lutram_1w_1r.sv' 2024-01-19 02:41:05,670 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/lutrams/lutram_1w_mr.sv' 2024-01-19 02:41:05,670 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/xilinx/cva5_wrapper_xilinx.sv' 2024-01-19 02:41:05,671 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/core/xilinx/xilinx_byte_enable_ram.sv' 2024-01-19 02:41:05,671 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/debug_module/.gitkeep' 2024-01-19 02:41:05,671 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/debug_module/debug_cfg_types.sv' 2024-01-19 02:41:05,671 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/debug_module/debug_interfaces.sv' 2024-01-19 02:41:05,672 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/debug_module/debug_module.sv' 2024-01-19 02:41:05,672 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/debug_module/jtag_module.sv' 2024-01-19 02:41:05,672 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/debug_module/jtag_register.sv' 2024-01-19 02:41:05,672 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/debug_module/jtag_registers.sv' 2024-01-19 02:41:05,673 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/litex/l1_to_wishbone.sv' 2024-01-19 02:41:05,673 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/litex/litex_wrapper.sv' 2024-01-19 02:41:05,673 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/README.md' 2024-01-19 02:41:05,673 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/arm.tcl' 2024-01-19 02:41:05,674 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5.png' 2024-01-19 02:41:05,675 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5_small.png' 2024-01-19 02:41:05,675 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/cva5_wrapper.sv' 2024-01-19 02:41:05,676 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/dhrystone.riscv.hw_init' 2024-01-19 02:41:05,679 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/dhrystone.riscv.sim_init' 2024-01-19 02:41:05,681 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/simulator_output_example.png' 2024-01-19 02:41:05,681 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/system.png' 2024-01-19 02:41:05,682 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/system_periperhals.tcl' 2024-01-19 02:41:05,682 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/xilinx_wiring_sample.png' 2024-01-19 02:41:05,683 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/zedboard.xdc' 2024-01-19 02:41:05,683 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/cva5-ip-core-base.tcl' 2024-01-19 02:41:05,684 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/design_1_wrapper.v' 2024-01-19 02:41:05,684 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/examples/zedboard/scripts/zedboard_master_XDC_RevC_D_v3.xdc' 2024-01-19 02:41:05,684 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/formal/interfaces/axi4_basic_props.sv' 2024-01-19 02:41:05,685 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/formal/models/cva5_fbm.sv' 2024-01-19 02:41:05,685 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/formal/models/cva5_formal_wrapper.sv' 2024-01-19 02:41:05,685 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/formal/scripts/cva5_rtl.vfile' 2024-01-19 02:41:05,685 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/formal/scripts/setup_cva5_dev.tcl' 2024-01-19 02:41:05,686 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_arbiter.sv' 2024-01-19 02:41:05,686 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_config_and_types.sv' 2024-01-19 02:41:05,686 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_external_interfaces.sv' 2024-01-19 02:41:05,686 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_fifo.sv' 2024-01-19 02:41:05,687 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_interfaces.sv' 2024-01-19 02:41:05,687 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_reservation_logic.sv' 2024-01-19 02:41:05,687 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/l2_arbiter/l2_round_robin.sv' 2024-01-19 02:41:05,687 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/local_memory/local_mem.sv' 2024-01-19 02:41:05,687 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/local_memory/local_memory_interface.sv' 2024-01-19 02:41:05,688 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/scripts/xilinx/cva5_wrapper_IP.tcl' 2024-01-19 02:41:05,688 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/scripts/xilinx/local_memory_IP.tcl' 2024-01-19 02:41:05,688 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/axi_mem_sim.sv' 2024-01-19 02:41:05,689 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/cva5_tb.sv' 2024-01-19 02:41:05,689 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/cva5_tb.wcfg' 2024-01-19 02:41:05,690 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/sim_mem.sv' 2024-01-19 02:41:05,690 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/alu_unit_tb.sv' 2024-01-19 02:41:05,690 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/div_unit_tb.sv' 2024-01-19 02:41:05,690 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/unit_test_benches/mul_unit_tb.sv' 2024-01-19 02:41:05,691 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/CVA5Tracer.cc' 2024-01-19 02:41:05,691 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/CVA5Tracer.h' 2024-01-19 02:41:05,691 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/SimMem.cc' 2024-01-19 02:41:05,692 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/SimMem.h' 2024-01-19 02:41:05,692 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/cva5_sim.cc' 2024-01-19 02:41:05,692 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/cva5_sim.sv' 2024-01-19 02:41:05,693 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/DDR_init.txt' 2024-01-19 02:41:05,693 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_ddr_sim.cc' 2024-01-19 02:41:05,693 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_ddr_sim.h' 2024-01-19 02:41:05,694 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_interface.h' 2024-01-19 02:41:05,694 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_l2_test.cc' 2024-01-19 02:41:05,694 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/axi_l2_test.sv' 2024-01-19 02:41:05,694 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/ddr_page.cc' 2024-01-19 02:41:05,695 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/ddr_page.h' 2024-01-19 02:41:05,695 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/test_benches/verilator/AXI_DDR_simulation/main.cc' 2024-01-19 02:41:05,695 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/tools/.gitignore' 2024-01-19 02:41:05,695 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/tools/compile_order' 2024-01-19 02:41:05,695 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/tools/cva5.mak' 2024-01-19 02:41:05,696 wheel INFO adding 'pythondata_cpu_cva5/system_verilog/tools/elf-to-hw-init.py' 2024-01-19 02:41:05,696 wheel INFO adding 'pythondata_cpu_cva5-0.0.post649.dist-info/LICENSE' 2024-01-19 02:41:05,696 wheel INFO adding 'pythondata_cpu_cva5-0.0.post649.dist-info/METADATA' 2024-01-19 02:41:05,696 wheel INFO adding 'pythondata_cpu_cva5-0.0.post649.dist-info/WHEEL' 2024-01-19 02:41:05,697 wheel INFO adding 'pythondata_cpu_cva5-0.0.post649.dist-info/top_level.txt' 2024-01-19 02:41:05,697 wheel INFO adding 'pythondata_cpu_cva5-0.0.post649.dist-info/RECORD' 2024-01-19 02:41:05,698 wheel INFO removing build/bdist.linux-x86_64/wheel 2024-01-19 02:41:05,702 gpep517 INFO The backend produced dist/pythondata_cpu_cva5-0.0.post649-py3-none-any.whl pythondata_cpu_cva5-0.0.post649-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-cva6 2024-01-19 02:41:05,908 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 02:41:05,946 root INFO running bdist_wheel 2024-01-19 02:41:05,962 root INFO running build 2024-01-19 02:41:05,962 root INFO running build_py 2024-01-19 02:41:05,964 root INFO creating build 2024-01-19 02:41:05,964 root INFO creating build/lib 2024-01-19 02:41:05,964 root INFO creating build/lib/pythondata_cpu_cva6 2024-01-19 02:41:05,964 root INFO copying pythondata_cpu_cva6/__init__.py -> build/lib/pythondata_cpu_cva6 2024-01-19 02:41:05,965 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:05,965 root INFO copying pythondata_cpu_cva6/system_verilog/config_pkg_generator.py -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:05,966 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:05,966 root INFO copying pythondata_cpu_cva6/system_verilog/docs/conf.py -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:05,966 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/scripts 2024-01-19 02:41:05,967 root INFO copying pythondata_cpu_cva6/system_verilog/scripts/parse_ila_trace.py -> build/lib/pythondata_cpu_cva6/system_verilog/scripts 2024-01-19 02:41:05,968 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu 2024-01-19 02:41:05,968 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 02:41:05,968 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/gen_rom.py -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 02:41:05,969 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 02:41:05,969 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 02:41:05,969 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/linux_boot.py -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 02:41:05,970 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 02:41:05,970 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:05,970 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/gen_rom.py -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:05,974 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 02:41:05,974 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:05,974 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-01-19 02:41:05,974 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/testlib.py -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-01-19 02:41:05,974 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/ebreak.py -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-01-19 02:41:05,977 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton 2024-01-19 02:41:05,977 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom 2024-01-19 02:41:05,977 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 02:41:05,977 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/gen_rom.py -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 02:41:05,977 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 02:41:05,977 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/gen_rom.py -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 02:41:05,978 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/pd 2024-01-19 02:41:05,978 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 02:41:05,978 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-01-19 02:41:05,978 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/scripts/gate_analysis.py -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-01-19 02:41:05,979 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-01-19 02:41:05,979 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 02:41:05,979 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/conf.py -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 02:41:05,982 root INFO running egg_info 2024-01-19 02:41:05,982 root INFO creating pythondata_cpu_cva6.egg-info 2024-01-19 02:41:05,983 root INFO writing pythondata_cpu_cva6.egg-info/PKG-INFO 2024-01-19 02:41:05,984 root INFO writing dependency_links to pythondata_cpu_cva6.egg-info/dependency_links.txt 2024-01-19 02:41:05,984 root INFO writing top-level names to pythondata_cpu_cva6.egg-info/top_level.txt 2024-01-19 02:41:05,984 root INFO writing manifest file 'pythondata_cpu_cva6.egg-info/SOURCES.txt' 2024-01-19 02:41:06,004 root INFO reading manifest file 'pythondata_cpu_cva6.egg-info/SOURCES.txt' 2024-01-19 02:41:06,004 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 02:41:06,019 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 02:41:06,019 root INFO adding license file 'LICENSE' 2024-01-19 02:41:06,031 root INFO writing manifest file 'pythondata_cpu_cva6.egg-info/SOURCES.txt' 2024-01-19 02:41:06,063 root INFO copying pythondata_cpu_cva6/system_verilog/.editorconfig -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,063 root INFO copying pythondata_cpu_cva6/system_verilog/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,064 root INFO copying pythondata_cpu_cva6/system_verilog/.gitlab-ci.yml -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,064 root INFO copying pythondata_cpu_cva6/system_verilog/.gitmodules -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,064 root INFO copying pythondata_cpu_cva6/system_verilog/Bender.yml -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,064 root INFO copying pythondata_cpu_cva6/system_verilog/CHANGELOG.md -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,065 root INFO copying pythondata_cpu_cva6/system_verilog/CODEOWNERS -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,065 root INFO copying pythondata_cpu_cva6/system_verilog/CONTRIBUTING.md -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,065 root INFO copying pythondata_cpu_cva6/system_verilog/Flist.ariane -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,065 root INFO copying pythondata_cpu_cva6/system_verilog/LICENSE -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,066 root INFO copying pythondata_cpu_cva6/system_verilog/LICENSE.Berkeley -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,066 root INFO copying pythondata_cpu_cva6/system_verilog/LICENSE.SiFive -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,066 root INFO copying pythondata_cpu_cva6/system_verilog/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,067 root INFO copying pythondata_cpu_cva6/system_verilog/README.md -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,067 root INFO copying pythondata_cpu_cva6/system_verilog/ariane.core -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,067 root INFO copying pythondata_cpu_cva6/system_verilog/init_testharness.do -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,067 root INFO copying pythondata_cpu_cva6/system_verilog/src_files.yml -> build/lib/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,068 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/.github 2024-01-19 02:41:06,068 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:06,068 root INFO copying pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE/bug.yaml -> build/lib/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:06,068 root INFO copying pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE/task.yaml -> build/lib/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:06,068 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/.github/workflows 2024-01-19 02:41:06,068 root INFO copying pythondata_cpu_cva6/system_verilog/.github/workflows/ci.yml -> build/lib/pythondata_cpu_cva6/system_verilog/.github/workflows 2024-01-19 02:41:06,069 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/.gitlab-ci 2024-01-19 02:41:06,069 root INFO copying pythondata_cpu_cva6/system_verilog/.gitlab-ci/core-v-verif-cva6.yml -> build/lib/pythondata_cpu_cva6/system_verilog/.gitlab-ci 2024-01-19 02:41:06,069 root INFO copying pythondata_cpu_cva6/system_verilog/.gitlab-ci/cva6.yml -> build/lib/pythondata_cpu_cva6/system_verilog/.gitlab-ci 2024-01-19 02:41:06,069 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,069 root INFO copying pythondata_cpu_cva6/system_verilog/ci/build-riscv-gcc.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,070 root INFO copying pythondata_cpu_cva6/system_verilog/ci/build-riscv-tests.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,070 root INFO copying pythondata_cpu_cva6/system_verilog/ci/check-tests.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,070 root INFO copying pythondata_cpu_cva6/system_verilog/ci/default.config -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,070 root INFO copying pythondata_cpu_cva6/system_verilog/ci/float.config -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,071 root INFO copying pythondata_cpu_cva6/system_verilog/ci/get-torture.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,071 root INFO copying pythondata_cpu_cva6/system_verilog/ci/gitlab-ci-emul.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,071 root INFO copying pythondata_cpu_cva6/system_verilog/ci/install-dtc.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,071 root INFO copying pythondata_cpu_cva6/system_verilog/ci/install-fesvr.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,072 root INFO copying pythondata_cpu_cva6/system_verilog/ci/install-riscvpk.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,072 root INFO copying pythondata_cpu_cva6/system_verilog/ci/install-spike.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,072 root INFO copying pythondata_cpu_cva6/system_verilog/ci/install-verilator.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,072 root INFO copying pythondata_cpu_cva6/system_verilog/ci/make-tmp.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,073 root INFO copying pythondata_cpu_cva6/system_verilog/ci/path-setup.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,073 root INFO copying pythondata_cpu_cva6/system_verilog/ci/riscv-amo-tests.list -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,073 root INFO copying pythondata_cpu_cva6/system_verilog/ci/riscv-asm-tests.list -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,073 root INFO copying pythondata_cpu_cva6/system_verilog/ci/riscv-benchmarks.list -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,073 root INFO copying pythondata_cpu_cva6/system_verilog/ci/riscv-fp-tests.list -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,074 root INFO copying pythondata_cpu_cva6/system_verilog/ci/riscv-mul-tests.list -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,074 root INFO copying pythondata_cpu_cva6/system_verilog/ci/setup.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,074 root INFO copying pythondata_cpu_cva6/system_verilog/ci/torture_make.patch -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,074 root INFO copying pythondata_cpu_cva6/system_verilog/ci/travis-ci-emul.sh -> build/lib/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,074 root INFO copying pythondata_cpu_cva6/system_verilog/docs/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,075 root INFO copying pythondata_cpu_cva6/system_verilog/docs/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,075 root INFO copying pythondata_cpu_cva6/system_verilog/docs/commit_stage.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,075 root INFO copying pythondata_cpu_cva6/system_verilog/docs/cva6_soc.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,075 root INFO copying pythondata_cpu_cva6/system_verilog/docs/ex_stage.md -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,076 root INFO copying pythondata_cpu_cva6/system_verilog/docs/id_stage.md -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,076 root INFO copying pythondata_cpu_cva6/system_verilog/docs/if_stage.md -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,076 root INFO copying pythondata_cpu_cva6/system_verilog/docs/index.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,076 root INFO copying pythondata_cpu_cva6/system_verilog/docs/intro.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,076 root INFO copying pythondata_cpu_cva6/system_verilog/docs/issue_stage.md -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,077 root INFO copying pythondata_cpu_cva6/system_verilog/docs/make.bat -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,077 root INFO copying pythondata_cpu_cva6/system_verilog/docs/pcgen_stage.md -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,077 root INFO copying pythondata_cpu_cva6/system_verilog/docs/requirements.txt -> build/lib/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,077 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,077 root INFO copying pythondata_cpu_cva6/system_verilog/core/Flist.cv32a60x -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,078 root INFO copying pythondata_cpu_cva6/system_verilog/core/Flist.cv32a60x_gate -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,078 root INFO copying pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imac_sv0 -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,078 root INFO copying pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imac_sv32 -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,078 root INFO copying pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imafc_sv32 -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,078 root INFO copying pythondata_cpu_cva6/system_verilog/core/Flist.cv64a6_imafdc_sv39 -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,079 root INFO copying pythondata_cpu_cva6/system_verilog/core/Flist.cv64a6_imafdc_sv39_gate -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,079 root INFO copying pythondata_cpu_cva6/system_verilog/core/alu.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,079 root INFO copying pythondata_cpu_cva6/system_verilog/core/amo_buffer.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,079 root INFO copying pythondata_cpu_cva6/system_verilog/core/ariane.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,080 root INFO copying pythondata_cpu_cva6/system_verilog/core/ariane_regfile.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,080 root INFO copying pythondata_cpu_cva6/system_verilog/core/ariane_regfile_ff.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,080 root INFO copying pythondata_cpu_cva6/system_verilog/core/axi_adapter.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,080 root INFO copying pythondata_cpu_cva6/system_verilog/core/axi_shim.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,081 root INFO copying pythondata_cpu_cva6/system_verilog/core/branch_unit.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,081 root INFO copying pythondata_cpu_cva6/system_verilog/core/commit_stage.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,081 root INFO copying pythondata_cpu_cva6/system_verilog/core/compressed_decoder.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,081 root INFO copying pythondata_cpu_cva6/system_verilog/core/controller.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,081 root INFO copying pythondata_cpu_cva6/system_verilog/core/csr_buffer.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,082 root INFO copying pythondata_cpu_cva6/system_verilog/core/csr_regfile.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,082 root INFO copying pythondata_cpu_cva6/system_verilog/core/cva6.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,082 root INFO copying pythondata_cpu_cva6/system_verilog/core/cvxif_fu.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,083 root INFO copying pythondata_cpu_cva6/system_verilog/core/decoder.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,083 root INFO copying pythondata_cpu_cva6/system_verilog/core/dromajo_ram.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,083 root INFO copying pythondata_cpu_cva6/system_verilog/core/ex_stage.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,083 root INFO copying pythondata_cpu_cva6/system_verilog/core/fpu_wrap.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,084 root INFO copying pythondata_cpu_cva6/system_verilog/core/id_stage.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,084 root INFO copying pythondata_cpu_cva6/system_verilog/core/instr_realign.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,084 root INFO copying pythondata_cpu_cva6/system_verilog/core/issue_read_operands.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,084 root INFO copying pythondata_cpu_cva6/system_verilog/core/issue_stage.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,084 root INFO copying pythondata_cpu_cva6/system_verilog/core/load_store_unit.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,085 root INFO copying pythondata_cpu_cva6/system_verilog/core/load_unit.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,085 root INFO copying pythondata_cpu_cva6/system_verilog/core/lsu_bypass.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,085 root INFO copying pythondata_cpu_cva6/system_verilog/core/mult.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,085 root INFO copying pythondata_cpu_cva6/system_verilog/core/multiplier.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,086 root INFO copying pythondata_cpu_cva6/system_verilog/core/perf_counters.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,086 root INFO copying pythondata_cpu_cva6/system_verilog/core/re_name.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,086 root INFO copying pythondata_cpu_cva6/system_verilog/core/scoreboard.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,086 root INFO copying pythondata_cpu_cva6/system_verilog/core/serdiv.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,086 root INFO copying pythondata_cpu_cva6/system_verilog/core/store_buffer.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,087 root INFO copying pythondata_cpu_cva6/system_verilog/core/store_unit.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,087 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 02:41:06,087 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane-multi-hart.cfg -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 02:41:06,087 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane.cfg -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 02:41:06,088 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane_pmod.cfg -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 02:41:06,088 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane_pmod_tiny.cfg -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 02:41:06,088 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/sourceme.sh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 02:41:06,088 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_axi_soc_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 02:41:06,088 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_peripherals.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 02:41:06,089 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_soc_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 02:41:06,089 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_tb.cpp -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 02:41:06,089 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_tb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 02:41:06,089 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_testharness.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 02:41:06,090 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/rvfi_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 02:41:06,090 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/rvfi_tracer.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 02:41:06,090 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/include 2024-01-19 02:41:06,090 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/include/traced_instr_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/include 2024-01-19 02:41:06,090 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 02:41:06,091 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 02:41:06,091 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/ariane.dts -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 02:41:06,091 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.S -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 02:41:06,091 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 02:41:06,091 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 02:41:06,092 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/dromajo_bootrom.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 02:41:06,092 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/encoding.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 02:41:06,092 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/linker.ld -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 02:41:06,092 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-01-19 02:41:06,092 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/clint/README.md -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-01-19 02:41:06,093 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/clint/axi_lite_interface.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-01-19 02:41:06,093 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/clint/clint.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-01-19 02:41:06,093 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/ariane_verilog_wrap.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton 2024-01-19 02:41:06,093 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/riscv_peripherals.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton 2024-01-19 02:41:06,094 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 02:41:06,094 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/ariane.xdc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 02:41:06,094 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/genesys-2.xdc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 02:41:06,094 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/kc705.xdc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 02:41:06,094 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/vc707.xdc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 02:41:06,095 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/vcu118.xdc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 02:41:06,095 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/ariane_peripherals_xilinx.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 02:41:06,095 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/ariane_xilinx.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 02:41:06,096 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/fan_ctrl.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 02:41:06,096 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/genesysii.svh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 02:41:06,096 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/kc705.svh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 02:41:06,096 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/vc707.svh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 02:41:06,097 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/vcu118.svh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 02:41:06,097 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-01-19 02:41:06,097 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-01-19 02:41:06,097 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/ariane_xlnx_ip.yml -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-01-19 02:41:06,097 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/common.mk -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-01-19 02:41:06,098 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/check_fpga_boot.sh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 02:41:06,098 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/program.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 02:41:06,098 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/program_genesys2.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 02:41:06,099 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/prologue.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 02:41:06,099 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 02:41:06,099 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/write_cfgmem.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 02:41:06,099 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,099 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,100 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/README.md -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,100 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_32.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,100 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_32.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,100 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_64.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,101 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_64.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,101 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/cv32a6.dts -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,101 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/cv64a6.dts -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,101 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/linker.lds -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,102 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/platform.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,102 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/startup.S -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,102 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 02:41:06,102 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/gpt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 02:41:06,102 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/gpt.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 02:41:06,103 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/main.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 02:41:06,103 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/sd.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 02:41:06,103 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/sd.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 02:41:06,103 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/smp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 02:41:06,103 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/spi.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 02:41:06,104 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/spi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 02:41:06,104 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/uart.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 02:41:06,104 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/uart.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 02:41:06,104 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-01-19 02:41:06,104 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-01-19 02:41:06,105 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_genesys2.prj -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-01-19 02:41:06,105 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_kc705.prj -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-01-19 02:41:06,105 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_vc707.prj -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-01-19 02:41:06,105 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi 2024-01-19 02:41:06,105 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi 2024-01-19 02:41:06,106 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter 2024-01-19 02:41:06,106 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter 2024-01-19 02:41:06,106 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen 2024-01-19 02:41:06,106 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen 2024-01-19 02:41:06,106 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter 2024-01-19 02:41:06,107 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter 2024-01-19 02:41:06,107 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker 2024-01-19 02:41:06,107 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker 2024-01-19 02:41:06,107 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio 2024-01-19 02:41:06,107 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio 2024-01-19 02:41:06,107 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master 2024-01-19 02:41:06,108 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master 2024-01-19 02:41:06,108 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila 2024-01-19 02:41:06,108 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila 2024-01-19 02:41:06,108 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave 2024-01-19 02:41:06,108 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave 2024-01-19 02:41:06,108 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/tcl 2024-01-19 02:41:06,109 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/tcl 2024-01-19 02:41:06,109 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/tcl 2024-01-19 02:41:06,109 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/tcl 2024-01-19 02:41:06,109 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/tcl 2024-01-19 02:41:06,109 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/tcl 2024-01-19 02:41:06,109 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/tcl 2024-01-19 02:41:06,110 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/tcl 2024-01-19 02:41:06,110 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/tcl 2024-01-19 02:41:06,110 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/tcl 2024-01-19 02:41:06,110 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/tcl 2024-01-19 02:41:06,110 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/tcl 2024-01-19 02:41:06,111 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/tcl 2024-01-19 02:41:06,111 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/tcl 2024-01-19 02:41:06,111 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/tcl 2024-01-19 02:41:06,111 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/tcl 2024-01-19 02:41:06,111 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/tcl 2024-01-19 02:41:06,111 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/tcl 2024-01-19 02:41:06,112 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/tcl 2024-01-19 02:41:06,112 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/tcl/run.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/tcl 2024-01-19 02:41:06,112 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,112 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/LICENSE -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,112 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/Makefile.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,113 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/README.md -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,113 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/aclocal.m4 -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,113 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/config.h.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,113 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/configure -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,114 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/configure.ac -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,114 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-dummy_rocc.pc.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,114 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-riscv.pc.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,114 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-softfloat.pc.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,115 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-spike.pc.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,115 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-spike_main.pc.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,115 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-01-19 02:41:06,115 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-01-19 02:41:06,115 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-01-19 02:41:06,116 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/tb.list -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-01-19 02:41:06,116 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/wave.do -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-01-19 02:41:06,116 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,116 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/SimDTM.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,116 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/SimJTAG.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,117 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/bootrom.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,117 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/dromajo_cosim_dpi.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,117 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/elfloader.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,117 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/msim_helper.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,117 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/msim_helper.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,118 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/remote_bitbang.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,118 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/remote_bitbang.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,118 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/sim_spike.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,118 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/sim_spike.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,118 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/spike.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,119 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/verilator.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,119 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-01-19 02:41:06,119 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-01-19 02:41:06,119 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-01-19 02:41:06,119 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/tb.list -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-01-19 02:41:06,120 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-01-19 02:41:06,120 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-01-19 02:41:06,120 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-01-19 02:41:06,120 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/tb.list -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-01-19 02:41:06,120 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/wave.do -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-01-19 02:41:06,121 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-01-19 02:41:06,121 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-01-19 02:41:06,121 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-01-19 02:41:06,121 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/tb.list -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-01-19 02:41:06,121 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/wave.do -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-01-19 02:41:06,122 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-01-19 02:41:06,122 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-01-19 02:41:06,122 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-01-19 02:41:06,122 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/tb.list -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-01-19 02:41:06,122 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/wave.do -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-01-19 02:41:06,123 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/wave 2024-01-19 02:41:06,123 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/wave/wave_core.do -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/wave 2024-01-19 02:41:06,123 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,123 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/SimDTM.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,123 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/SimJTAG.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,124 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/assign.svh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,124 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/core_mem.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,124 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/dp_ram.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,124 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/mock_uart.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,124 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/spike.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,125 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/string_buffer.svh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,125 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb.svh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,125 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_amoport.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,125 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_dcache_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,126 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_readport.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,126 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_writeport.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,126 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/uart.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,126 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 02:41:06,126 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 02:41:06,127 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 02:41:06,127 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/debug_rom.S -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 02:41:06,127 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/debug_rom.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 02:41:06,127 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/link.ld -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 02:41:06,127 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/ebreak.s -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-01-19 02:41:06,128 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 02:41:06,128 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/disasm.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 02:41:06,128 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike-dasm.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 02:41:06,128 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 02:41:06,128 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike_main.ac -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 02:41:06,129 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike_main.mk.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 02:41:06,129 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/termios-xspike.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 02:41:06,129 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/xspike.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 02:41:06,129 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,129 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_add.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,130 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_classify.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,130 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_div.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,130 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_eq.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,130 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_eq_signaling.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,131 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_isSignalingNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,131 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_le.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,131 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_le_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,131 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_lt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,131 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_lt_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,132 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_mul.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,132 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_mulAdd.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,132 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_rem.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,132 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_roundToInt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,133 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_sqrt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,133 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_sub.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,133 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,133 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,133 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,134 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,134 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,134 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,134 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,135 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,135 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,135 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,135 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,135 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_add.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,136 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_div.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,136 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_eq.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,136 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_eq_signaling.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,136 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_isSignalingNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,136 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_le.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,137 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_le_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,137 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_lt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,137 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_lt_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,137 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_mul.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,137 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_mulAdd.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,138 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_rem.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,138 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_roundToInt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,138 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_sqrt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,138 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_sub.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,139 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,139 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,139 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,139 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,139 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,140 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,140 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,140 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,140 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,140 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,141 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,141 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_add.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,141 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_classify.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,141 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_div.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,142 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_eq.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,142 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_eq_signaling.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,142 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_isSignalingNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,142 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_le.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,142 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_le_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,143 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_lt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,143 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_lt_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,143 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_mul.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,143 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_mulAdd.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,143 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_rem.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,144 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_roundToInt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,144 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_sqrt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,144 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_sub.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,144 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,145 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,145 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,145 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,145 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,145 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,146 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,146 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,146 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,146 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,146 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,147 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_add.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,147 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_classify.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,147 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_div.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,147 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_eq.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,148 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_eq_signaling.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,148 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_isSignalingNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,148 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_le.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,148 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_le_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,148 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_lt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,149 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_lt_quiet.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,149 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_mul.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,149 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_mulAdd.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,149 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_rem.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,149 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_roundToInt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,150 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_sqrt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,150 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_sub.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,150 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,150 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,151 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,151 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,151 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,151 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,151 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,152 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,152 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui32_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,152 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,152 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui64_r_minMag.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,153 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,153 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,153 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,153 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,153 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,154 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,154 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,154 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,154 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/internals.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,155 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/platform.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,155 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/primitiveTypes.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,155 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/primitives.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,155 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_add128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,156 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_add256M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,156 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addCarryM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,156 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addComplCarryM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,156 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,156 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,157 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,157 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,157 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,157 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecip32_1.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,158 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecipSqrt32_1.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,158 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecipSqrt_1Ks.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,158 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecip_1Ks.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,158 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF128UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,158 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF16UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,159 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF32UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,159 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF64UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,159 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_compare128M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,159 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_compare96M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,160 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,160 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,160 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,160 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros8.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,160 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_eq128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,161 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f128UIToCommonNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,161 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f16UIToCommonNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,161 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f32UIToCommonNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,161 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f64UIToCommonNaN.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,161 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_le128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,162 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_lt128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,162 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128By32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,162 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128MTo256M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,162 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128To256M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,163 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64ByShifted32To128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,163 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64To128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,163 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64To128M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,163 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,164 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,164 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,164 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,164 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_negXM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,165 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,165 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,165 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,165 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,165 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF128Sig.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,166 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF16Sig.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,166 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF32Sig.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,166 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF64Sig.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,166 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF128UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,167 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF16UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,167 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF32UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,167 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF64UI.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,167 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_remStepMBy32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,167 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundMToI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,168 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundMToUI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,168 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackMToI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,168 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackMToUI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,168 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,169 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,169 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,169 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,169 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToI32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,169 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,170 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToUI32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,170 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToUI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,170 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToI32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,170 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,171 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToUI32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,171 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToUI64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,171 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,171 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam128Extra.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,171 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam256M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,172 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,172 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,172 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam64Extra.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,172 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftLeft128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,172 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftLeft64To96M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,173 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRight128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,173 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightExtendM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,173 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,173 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam128Extra.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,174 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,174 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam64Extra.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,174 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,174 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,174 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub1XM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,175 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub256M.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,175 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subM.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,175 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,175 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,176 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,176 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,176 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.ac -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,176 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,176 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.mk.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,177 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_raiseFlags.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,177 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_state.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,177 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_types.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,177 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/specialize.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,178 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,178 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,178 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,178 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,178 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f128.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,179 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f16.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,179 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f32.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,179 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f64.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,179 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-01-19 02:41:06,179 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.ac -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-01-19 02:41:06,180 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-01-19 02:41:06,180 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.mk.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-01-19 02:41:06,180 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc_test.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-01-19 02:41:06,180 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 02:41:06,180 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/config.guess -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 02:41:06,181 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/config.sub -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 02:41:06,181 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/install.sh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 02:41:06,181 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/mk-install-dirs.sh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 02:41:06,181 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/vcs-version.sh -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 02:41:06,182 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,182 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/bootrom.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,182 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/cachesim.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,182 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/cachesim.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,182 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/clint.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,183 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/common.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,183 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_defines.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,183 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_module.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,183 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_module.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,184 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_rom_defines.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,184 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/decode.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,184 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/devices.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,184 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/devices.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,185 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/disasm.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,185 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dts.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,185 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dts.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,185 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dump.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,185 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/encoding.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,186 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/execute.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,186 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extension.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,186 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extension.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,186 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extensions.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,187 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/gen_icache -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,187 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insn_template.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,187 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insn_template.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,187 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/interactive.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,187 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/jtag_dtm.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,188 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/jtag_dtm.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,188 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/memtracer.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,188 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mmu.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,188 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mmu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,189 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mulhi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,189 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/opcodes.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,189 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/processor.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,189 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/processor.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,190 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/regnames.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,190 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/remote_bitbang.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,190 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/remote_bitbang.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,190 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/riscv.ac -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,190 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/riscv.mk.in -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,191 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rocc.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,191 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rocc.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,191 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rom.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,191 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/sim.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,191 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/sim.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,192 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/simif.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,192 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/tracer.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,192 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/trap.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,192 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/trap.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,193 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/uart.cc -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,193 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,193 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/add.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,193 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,193 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addiw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,193 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,194 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoadd_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,194 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoadd_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,194 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoand_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,194 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoand_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,195 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomax_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,195 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomax_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,195 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomaxu_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,195 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomaxu_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,195 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomin_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,196 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomin_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,196 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amominu_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,196 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amominu_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,196 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoor_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,196 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoor_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,197 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoswap_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,197 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoswap_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,197 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoxor_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,197 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoxor_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,197 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/and.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,198 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/andi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,198 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/auipc.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,198 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/beq.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,198 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bge.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,199 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bgeu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,199 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/blt.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,199 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bltu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,199 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bne.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,199 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_add.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,200 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,200 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addi4spn.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,200 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,200 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_and.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,200 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_andi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,201 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_beqz.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,201 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_bnez.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,201 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_ebreak.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,201 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fld.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,201 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fldsp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,202 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_flw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,202 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_flwsp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,202 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsd.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,202 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsdsp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,203 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,203 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fswsp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,203 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_j.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,203 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jal.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,203 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jalr.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,204 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jr.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,204 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_li.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,204 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lui.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,204 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,204 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lwsp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,205 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_mv.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,205 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_or.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,205 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_slli.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,205 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_srai.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,205 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_srli.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,206 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_sub.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,206 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_subw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,206 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_sw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,206 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_swsp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,207 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_xor.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,207 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrc.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,207 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrci.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,207 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrs.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,207 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrsi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,208 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,208 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrwi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,208 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/div.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,208 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,208 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divuw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,209 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,209 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/dret.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,209 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ebreak.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,209 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ecall.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,210 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,210 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,210 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,210 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,210 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,211 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,211 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_l.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,211 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_lu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,211 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,211 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,212 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,212 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_wu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,212 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,212 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,212 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,213 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,213 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,213 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,213 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,214 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_l.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,214 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_lu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,214 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,214 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,214 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_wu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,215 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,215 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_l.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,215 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_lu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,215 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,216 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,216 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_wu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,216 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,216 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,216 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,217 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,217 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,217 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,217 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,217 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,218 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,218 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fence.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,218 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fence_i.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,218 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,219 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,219 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,219 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fld.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,219 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,219 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,220 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,220 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flq.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,220 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,220 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,220 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,221 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,221 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,221 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,221 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,222 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,222 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,222 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,222 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,222 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,223 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,223 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,223 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,224 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,224 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,224 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,224 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,225 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_d_x.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,225 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_w_x.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,225 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_x_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,225 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_x_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,225 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,226 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,226 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,226 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,226 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,226 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,227 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsd.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,227 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,227 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,227 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,228 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,228 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,228 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,228 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,228 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,229 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,229 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsq.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,229 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,229 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,230 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,230 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,230 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_q.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,230 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_s.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,230 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,231 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/jal.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,231 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/jalr.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,231 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lb.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,231 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lbu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,231 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ld.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,232 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lh.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,232 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lhu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,232 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lr_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,232 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lr_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,233 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lui.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,233 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,233 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lwu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,233 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mret.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,233 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mul.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,234 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulh.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,234 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulhsu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,234 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulhu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,234 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,235 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/or.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,235 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ori.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,235 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/rem.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,235 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,235 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remuw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,236 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,236 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sb.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,236 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sc_d.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,236 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sc_w.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,237 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sd.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,237 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sfence_vma.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,237 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sh.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,237 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sll.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,237 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slli.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,238 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slliw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,238 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sllw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,238 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slt.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,238 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slti.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,239 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sltiu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,239 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sltu.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,239 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sra.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,239 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srai.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,239 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sraiw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,240 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sraw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,240 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sret.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,240 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srl.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,240 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srli.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,240 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srliw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,241 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srlw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,241 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sub.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,241 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/subw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,241 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sw.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,242 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/wfi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,242 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/xor.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,242 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/xori.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,242 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-01-19 02:41:06,242 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/mem_emul.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-01-19 02:41:06,243 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-01-19 02:41:06,243 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tb_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-01-19 02:41:06,243 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tlb_emul.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-01-19 02:41:06,243 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 02:41:06,243 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 02:41:06,244 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_div.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 02:41:06,244 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 02:41:06,244 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_rem.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 02:41:06,244 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_udiv.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 02:41:06,245 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_urem.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 02:41:06,245 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/hdl 2024-01-19 02:41:06,245 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/hdl/tb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/hdl 2024-01-19 02:41:06,245 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl 2024-01-19 02:41:06,245 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl/tb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl 2024-01-19 02:41:06,246 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl/tb_mem.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl 2024-01-19 02:41:06,246 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/hdl 2024-01-19 02:41:06,246 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/hdl/tb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/hdl 2024-01-19 02:41:06,246 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom 2024-01-19 02:41:06,246 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 02:41:06,247 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 02:41:06,247 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/bootrom.S -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 02:41:06,247 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/linker.ld -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 02:41:06,247 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 02:41:06,247 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 02:41:06,248 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/README.md -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 02:41:06,248 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/linker.lds -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 02:41:06,248 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/platform.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 02:41:06,248 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/startup.S -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 02:41:06,249 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 02:41:06,249 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/gpt.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 02:41:06,249 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/gpt.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 02:41:06,249 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/main.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 02:41:06,249 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/sd.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 02:41:06,249 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/sd.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 02:41:06,250 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/smp.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 02:41:06,250 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/spi.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 02:41:06,250 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/spi.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 02:41:06,250 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/uart.c -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 02:41:06,251 root INFO copying pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/uart.h -> build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 02:41:06,251 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 02:41:06,251 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 02:41:06,251 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/cva6_read.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 02:41:06,251 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/cva6_synth.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 02:41:06,252 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/tc_sram_wrapper_256_64_00000008_00000001_00000001_none_0.sv -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 02:41:06,252 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/scripts/dc_setup.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-01-19 02:41:06,252 root INFO copying pythondata_cpu_cva6/system_verilog/pd/synth/scripts/dc_setup_filenames.tcl -> build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-01-19 02:41:06,252 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-01-19 02:41:06,252 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-01-19 02:41:06,253 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/make.bat -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-01-19 02:41:06,253 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/requirements.txt -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-01-19 02:41:06,253 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,253 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/ariane_overview.pdf -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,255 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/ariane_overview.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,255 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/bg.jpg -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,257 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/branch_prediction.pdf -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,258 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/branch_prediction.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,258 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/fpga_bd.pdf -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,259 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/fpga_bd.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,260 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/instr_realign.pdf -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,261 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/instr_realign.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,262 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/jekyll-dark.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,262 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/jekyll.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,263 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/ld_pipeline_diagram.svg -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,263 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/logonav.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,263 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/lsu_blockdiagram.pdf -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,264 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/lsu_blockdiagram.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,265 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/mmu_blockdiagramm.pdf -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,266 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/mmu_blockdiagramm.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,267 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/openhw-landscape.svg -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,267 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/scoreboard.pdf -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,269 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/scoreboard.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,270 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/uvm_fu_tb.ai -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,272 root INFO copying pythondata_cpu_cva6/system_verilog/docs/_static/uvm_fu_tb.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,273 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs/specifications 2024-01-19 02:41:06,273 root INFO copying pythondata_cpu_cva6/system_verilog/docs/specifications/cva6_requirement_specification.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs/specifications 2024-01-19 02:41:06,273 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs/user_guide 2024-01-19 02:41:06,273 root INFO copying pythondata_cpu_cva6/system_verilog/docs/user_guide/cva6_ug_csr.adoc -> build/lib/pythondata_cpu_cva6/system_verilog/docs/user_guide 2024-01-19 02:41:06,274 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 02:41:06,274 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/images/CVA6_subsystems.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 02:41:06,274 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/images/ariane_overview.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 02:41:06,275 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/images/bht.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 02:41:06,275 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/images/frontend_modules.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 02:41:06,276 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/images/jade_design_automation_logo.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 02:41:06,276 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/images/openhw-landscape.svg -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 02:41:06,276 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/images/subsystems.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 02:41:06,277 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/CV32A6_CSR.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 02:41:06,277 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/CV32A6_CSR.xml -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 02:41:06,278 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_frontend.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 02:41:06,278 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_glossary.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 02:41:06,278 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_intro.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 02:41:06,278 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_system.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 02:41:06,279 root INFO copying pythondata_cpu_cva6/system_verilog/docs/design_spec/source/index.rst -> build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 02:41:06,279 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/docs/specifications/images 2024-01-19 02:41:06,279 root INFO copying pythondata_cpu_cva6/system_verilog/docs/specifications/images/cva6_scope.png -> build/lib/pythondata_cpu_cva6/system_verilog/docs/specifications/images 2024-01-19 02:41:06,279 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/common 2024-01-19 02:41:06,280 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/common/local 2024-01-19 02:41:06,280 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 02:41:06,280 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/ex_trace_item.svh -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 02:41:06,280 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/find_first_one.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 02:41:06,280 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/instr_trace_item.svh -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 02:41:06,280 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/instr_tracer.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 02:41:06,281 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/instr_tracer_if.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 02:41:06,281 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/sram.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 02:41:06,281 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/tc_sram_fpga_wrapper.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 02:41:06,281 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/util/tc_sram_wrapper.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 02:41:06,282 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib 2024-01-19 02:41:06,282 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga 2024-01-19 02:41:06,282 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 02:41:06,282 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncDpRam.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 02:41:06,282 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRam.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 02:41:06,282 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRamBeNx32.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 02:41:06,283 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRamBeNx64.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 02:41:06,283 root INFO copying pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncTpRam.sv -> build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 02:41:06,283 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 02:41:06,283 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/.gitignore -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 02:41:06,283 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/Bender.yml -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 02:41:06,284 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/Makefile -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 02:41:06,284 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/README.md -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 02:41:06,284 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/formal.sby -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 02:41:06,284 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-01-19 02:41:06,284 root INFO copying pythondata_cpu_cva6/system_verilog/core/mmu_sv39/mmu.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-01-19 02:41:06,285 root INFO copying pythondata_cpu_cva6/system_verilog/core/mmu_sv39/ptw.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-01-19 02:41:06,285 root INFO copying pythondata_cpu_cva6/system_verilog/core/mmu_sv39/tlb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-01-19 02:41:06,285 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,285 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/ariane_axi_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,285 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/ariane_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,286 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/ariane_rvfi_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,286 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/axi_intf.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,286 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/cv32a60x_config_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,286 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imac_sv0_config_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,287 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imac_sv32_config_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,287 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imafc_sv32_config_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,287 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/cv64a6_imafdc_sv39_config_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,287 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/cv64a6_imafdc_sv39_openpiton_config_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,287 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/cvxif_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,288 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/instr_tracer_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,288 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/riscv_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,288 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/std_cache_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,288 root INFO copying pythondata_cpu_cva6/system_verilog/core/include/wt_cache_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,289 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,289 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/amo_alu.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,289 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cache_ctrl.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,289 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cva6_icache.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,289 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cva6_icache_axi_wrapper.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,290 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/miss_handler.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,290 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_cache_subsystem.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,290 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_nbdcache.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,290 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_no_dcache.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,291 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/tag_cmp.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,291 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_axi_adapter.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,291 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_cache_subsystem.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,291 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,292 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_ctrl.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,292 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_mem.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,292 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_missunit.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,292 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_wbuffer.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,293 root INFO copying pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_l15_adapter.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,293 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example 2024-01-19 02:41:06,293 root INFO copying pythondata_cpu_cva6/system_verilog/core/cvxif_example/cvxif_example_coprocessor.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example 2024-01-19 02:41:06,293 root INFO copying pythondata_cpu_cva6/system_verilog/core/cvxif_example/instr_decoder.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example 2024-01-19 02:41:06,293 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 02:41:06,294 root INFO copying pythondata_cpu_cva6/system_verilog/core/frontend/bht.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 02:41:06,294 root INFO copying pythondata_cpu_cva6/system_verilog/core/frontend/btb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 02:41:06,294 root INFO copying pythondata_cpu_cva6/system_verilog/core/frontend/frontend.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 02:41:06,294 root INFO copying pythondata_cpu_cva6/system_verilog/core/frontend/instr_queue.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 02:41:06,295 root INFO copying pythondata_cpu_cva6/system_verilog/core/frontend/instr_scan.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 02:41:06,295 root INFO copying pythondata_cpu_cva6/system_verilog/core/frontend/ras.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 02:41:06,295 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-01-19 02:41:06,295 root INFO copying pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_mmu_sv32.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-01-19 02:41:06,295 root INFO copying pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_ptw_sv32.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-01-19 02:41:06,296 root INFO copying pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_tlb_sv32.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-01-19 02:41:06,296 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/tb 2024-01-19 02:41:06,296 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/tb/pmp_tb.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/tb 2024-01-19 02:41:06,296 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/tb/tb_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/tb 2024-01-19 02:41:06,296 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/src 2024-01-19 02:41:06,296 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/src/pmp.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/src 2024-01-19 02:41:06,297 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/src/pmp_entry.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/src 2024-01-19 02:41:06,297 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/include 2024-01-19 02:41:06,297 root INFO copying pythondata_cpu_cva6/system_verilog/core/pmp/include/riscv.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/include 2024-01-19 02:41:06,297 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example/include 2024-01-19 02:41:06,297 root INFO copying pythondata_cpu_cva6/system_verilog/core/cvxif_example/include/cvxif_instr_pkg.sv -> build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example/include 2024-01-19 02:41:06,298 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/example_tb 2024-01-19 02:41:06,298 root INFO creating build/lib/pythondata_cpu_cva6/system_verilog/core/example_tb/verilator_results 2024-01-19 02:41:06,298 root INFO copying pythondata_cpu_cva6/system_verilog/core/example_tb/verilator_results/Vcva6_core_only_tb -> build/lib/pythondata_cpu_cva6/system_verilog/core/example_tb/verilator_results 2024-01-19 02:41:06,314 wheel INFO installing to build/bdist.linux-x86_64/wheel 2024-01-19 02:41:06,315 root INFO running install 2024-01-19 02:41:06,323 root INFO running install_lib 2024-01-19 02:41:06,325 root INFO creating build/bdist.linux-x86_64 2024-01-19 02:41:06,326 root INFO creating build/bdist.linux-x86_64/wheel 2024-01-19 02:41:06,326 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6 2024-01-19 02:41:06,326 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,326 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/src_files.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,326 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.gitmodules -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,327 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/CODEOWNERS -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,327 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.gitlab-ci.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,327 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/.github 2024-01-19 02:41:06,327 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/.github/workflows 2024-01-19 02:41:06,327 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.github/workflows/ci.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/.github/workflows 2024-01-19 02:41:06,328 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:06,328 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE/task.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:06,328 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE/bug.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:06,328 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,329 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/.gitlab-ci 2024-01-19 02:41:06,329 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.gitlab-ci/core-v-verif-cva6.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/.gitlab-ci 2024-01-19 02:41:06,329 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.gitlab-ci/cva6.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/.gitlab-ci 2024-01-19 02:41:06,329 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/Flist.ariane -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,329 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu 2024-01-19 02:41:06,330 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 02:41:06,330 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane_pmod.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 02:41:06,330 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 02:41:06,330 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/vc707.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 02:41:06,330 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/ariane.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 02:41:06,331 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/kc705.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 02:41:06,331 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/vcu118.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 02:41:06,332 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/genesys-2.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints 2024-01-19 02:41:06,332 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 02:41:06,332 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/fan_ctrl.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 02:41:06,332 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/vc707.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 02:41:06,332 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/vcu118.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 02:41:06,333 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/genesysii.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 02:41:06,333 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/kc705.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 02:41:06,333 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,333 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_32.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,334 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/startup.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,334 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/cv32a6.dts -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,334 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,334 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 02:41:06,334 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/sd.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 02:41:06,335 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/gpt.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 02:41:06,335 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/gpt.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 02:41:06,335 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/uart.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 02:41:06,335 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/sd.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 02:41:06,336 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/uart.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 02:41:06,336 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/spi.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 02:41:06,336 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/spi.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 02:41:06,336 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/main.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 02:41:06,336 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/smp.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src 2024-01-19 02:41:06,337 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/platform.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,337 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_64.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,337 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,337 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/cv64a6.dts -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,338 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/linker.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,338 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/gen_rom.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,338 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_32.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,338 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_64.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,338 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom 2024-01-19 02:41:06,339 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/ariane_xilinx.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 02:41:06,339 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/ariane_peripherals_xilinx.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src 2024-01-19 02:41:06,339 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-01-19 02:41:06,339 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-01-19 02:41:06,340 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_kc705.prj -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-01-19 02:41:06,340 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/tcl 2024-01-19 02:41:06,340 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/tcl/run.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/tcl 2024-01-19 02:41:06,340 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_vc707.prj -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-01-19 02:41:06,340 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_genesys2.prj -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-01-19 02:41:06,341 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3 2024-01-19 02:41:06,341 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/common.mk -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-01-19 02:41:06,341 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi 2024-01-19 02:41:06,341 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/tcl 2024-01-19 02:41:06,341 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/tcl/run.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/tcl 2024-01-19 02:41:06,341 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi 2024-01-19 02:41:06,342 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter 2024-01-19 02:41:06,342 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/tcl 2024-01-19 02:41:06,342 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/tcl/run.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/tcl 2024-01-19 02:41:06,342 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter 2024-01-19 02:41:06,342 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-01-19 02:41:06,343 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen 2024-01-19 02:41:06,343 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/tcl 2024-01-19 02:41:06,343 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/tcl/run.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/tcl 2024-01-19 02:41:06,343 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen 2024-01-19 02:41:06,343 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter 2024-01-19 02:41:06,344 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/tcl 2024-01-19 02:41:06,344 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/tcl/run.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/tcl 2024-01-19 02:41:06,344 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter 2024-01-19 02:41:06,344 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker 2024-01-19 02:41:06,344 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/tcl 2024-01-19 02:41:06,344 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/tcl/run.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/tcl 2024-01-19 02:41:06,345 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker 2024-01-19 02:41:06,345 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio 2024-01-19 02:41:06,345 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/tcl 2024-01-19 02:41:06,345 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/tcl/run.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/tcl 2024-01-19 02:41:06,345 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio 2024-01-19 02:41:06,345 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/ariane_xlnx_ip.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx 2024-01-19 02:41:06,346 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master 2024-01-19 02:41:06,346 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/tcl 2024-01-19 02:41:06,346 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/tcl/run.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/tcl 2024-01-19 02:41:06,346 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master 2024-01-19 02:41:06,346 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila 2024-01-19 02:41:06,347 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/tcl 2024-01-19 02:41:06,347 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/tcl/run.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/tcl 2024-01-19 02:41:06,347 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila 2024-01-19 02:41:06,347 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave 2024-01-19 02:41:06,347 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/tcl 2024-01-19 02:41:06,347 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/tcl/run.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/tcl 2024-01-19 02:41:06,348 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave 2024-01-19 02:41:06,348 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 02:41:06,348 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane_pmod_tiny.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 02:41:06,349 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane-multi-hart.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 02:41:06,349 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/sourceme.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 02:41:06,349 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 02:41:06,349 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/linux_boot.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 02:41:06,349 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/run.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 02:41:06,350 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/prologue.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 02:41:06,350 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/check_fpga_boot.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 02:41:06,350 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/program.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 02:41:06,350 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/program_genesys2.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 02:41:06,350 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/write_cfgmem.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts 2024-01-19 02:41:06,350 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/fpga 2024-01-19 02:41:06,351 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 02:41:06,351 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/rvfi_tracer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 02:41:06,351 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_soc_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 02:41:06,351 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_peripherals.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 02:41:06,352 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,352 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-softfloat.pc.in -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,352 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/configure -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,352 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 02:41:06,352 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/debug_rom.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 02:41:06,353 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 02:41:06,353 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/link.ld -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 02:41:06,353 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/debug_rom.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 02:41:06,353 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom 2024-01-19 02:41:06,353 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,354 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-01-19 02:41:06,354 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/ebreak.s -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-01-19 02:41:06,354 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/testlib.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-01-19 02:41:06,354 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/ebreak.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests 2024-01-19 02:41:06,354 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-spike_main.pc.in -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,355 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/LICENSE -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,355 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-spike.pc.in -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,355 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,355 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 02:41:06,355 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike-dasm.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 02:41:06,356 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike_main.ac -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 02:41:06,356 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/termios-xspike.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 02:41:06,356 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/xspike.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 02:41:06,356 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike_main.mk.in -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 02:41:06,356 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/disasm.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 02:41:06,356 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main 2024-01-19 02:41:06,357 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,357 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub128.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,357 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f128.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,357 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToI64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,358 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_add.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,358 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_lt_quiet.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,358 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,358 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f128.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,358 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF16.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,359 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecipSqrt32_1.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,359 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,359 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,359 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundMToUI64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,359 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,360 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF16.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,360 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_lt.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,360 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f32UIToCommonNaN.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,360 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub256M.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,360 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,361 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i32_r_minMag.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,361 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addM.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,361 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,361 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f16.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,361 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,361 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_le_quiet.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,362 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_sqrt.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,362 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam64Extra.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,362 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.mk.in -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,362 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui32_r_minMag.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,362 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,363 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,363 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,363 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,363 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_sub.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,363 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_lt.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,364 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128By32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,364 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_div.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,364 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecip32_1.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,364 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64To128.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,364 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_mul.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,365 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_div.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,365 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_compare96M.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,365 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,365 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,365 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub1XM.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,366 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRight128.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,366 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackMToUI64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,366 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_state.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,366 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f16.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,366 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_lt.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,367 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftLeft128.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,367 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_eq_signaling.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,367 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF32UI.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,367 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_classify.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,367 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.ac -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,367 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,368 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i64_r_minMag.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,368 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_sqrt.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,368 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_le128.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,368 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF16UI.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,368 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_isSignalingNaN.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,369 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_le.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,369 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,369 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_negXM.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,369 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f128.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,369 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_mul.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,370 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightM.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,370 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightExtendM.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,370 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_eq_signaling.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,370 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_classify.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,370 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/primitives.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,371 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF128Sig.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,371 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,371 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToI64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,371 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/platform.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,372 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_eq128.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,372 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_add.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,372 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,372 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_raiseFlags.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,372 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_le.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,373 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_remStepMBy32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,373 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF32Sig.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,373 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_eq_signaling.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,373 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_roundToInt.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,373 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,373 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f128.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,374 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f16.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,374 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_lt.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,374 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_add.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,374 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToI32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,375 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_add128.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,375 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i64_r_minMag.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,375 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,375 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,375 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,376 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToUI64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,376 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_roundToInt.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,376 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_le.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,376 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,376 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam64Extra.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,377 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToUI64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,377 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,377 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_sub.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,377 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF128.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,377 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros16.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,378 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF16.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,378 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,378 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF128.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,378 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,378 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_add.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,378 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_compare128M.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,379 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF64Sig.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,379 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF64UI.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,379 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui64_r_minMag.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,379 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,379 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_rem.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,380 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_eq.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,380 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f16.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,380 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui64_r_minMag.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,380 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,381 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF64UI.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,381 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui64_r_minMag.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,381 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui32_r_minMag.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,381 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i32_r_minMag.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,381 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f16UIToCommonNaN.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,382 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundMToI64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,382 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_rem.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,382 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,382 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_isSignalingNaN.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,382 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_isSignalingNaN.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,383 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToUI32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,383 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i32_r_minMag.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,383 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF16UI.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,383 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/internals.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,383 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,384 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_mul.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,384 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,384 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui32_r_minMag.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,384 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,384 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,385 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_isSignalingNaN.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,385 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToI32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,385 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,385 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addComplCarryM.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,385 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackMToI64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,386 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f128.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,386 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_eq.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,386 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_sqrt.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,386 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_roundToInt.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,386 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,387 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_lt_quiet.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,387 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i64_r_minMag.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,387 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,387 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam256M.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,387 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_mulAdd.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,388 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_classify.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,388 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128MTo256M.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,388 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f16.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,388 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF16.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,388 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam128.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,389 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,389 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui64_r_minMag.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,389 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f128.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,389 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_le.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,389 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_add256M.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,390 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF16Sig.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,390 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF128.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,390 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,390 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f128.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,390 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64To128M.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,391 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF128UI.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,391 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_eq_signaling.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,391 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f16.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,391 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i64_r_minMag.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,391 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,392 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f128UIToCommonNaN.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,392 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_mulAdd.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,392 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF32UI.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,392 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_lt_quiet.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,392 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_div.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,393 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftLeft64To96M.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,393 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,393 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,393 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_sub.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,393 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_types.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,394 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_mulAdd.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,394 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_eq.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,394 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_lt128.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,394 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64ByShifted32To128.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,394 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,395 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_eq.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,395 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_mul.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,395 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam128Extra.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,395 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_le_quiet.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,395 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_mulAdd.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,396 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF128.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,396 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,396 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_roundToInt.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,396 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,396 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128To256M.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,397 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f64UIToCommonNaN.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,397 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_le_quiet.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,397 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_sub.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,397 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui32_r_minMag.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,398 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addCarryM.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,398 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i64.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,398 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i32_r_minMag.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,398 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToUI32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,398 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF128.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,399 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_lt_quiet.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,399 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_div.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,399 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_sqrt.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,399 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF128UI.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,399 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_rem.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,400 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subM.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,400 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecip_1Ks.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,400 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam128.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,400 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF16.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,400 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecipSqrt_1Ks.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,401 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,401 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_le_quiet.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,401 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros8.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,401 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f16.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,401 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/specialize.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,402 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_rem.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,402 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam128Extra.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,402 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f32.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,402 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/primitiveTypes.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat 2024-01-19 02:41:06,402 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/Makefile.in -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,403 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-01-19 02:41:06,403 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.mk.in -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-01-19 02:41:06,403 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-01-19 02:41:06,403 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc_test.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-01-19 02:41:06,403 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.ac -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc 2024-01-19 02:41:06,404 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-riscv.pc.in -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,404 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/aclocal.m4 -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,404 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/config.h.in -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,404 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 02:41:06,404 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/mk-install-dirs.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 02:41:06,405 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/vcs-version.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 02:41:06,405 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/config.guess -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 02:41:06,405 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/install.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 02:41:06,405 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/config.sub -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts 2024-01-19 02:41:06,406 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/configure.ac -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,406 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,406 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/uart.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,406 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rocc.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,406 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dts.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,407 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mulhi.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,407 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/remote_bitbang.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,407 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/disasm.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,407 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insn_template.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,407 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/sim.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,407 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insn_template.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,408 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/processor.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,408 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/sim.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,408 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dts.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,408 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/execute.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,408 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/cachesim.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,409 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/simif.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,409 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/trap.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,409 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/jtag_dtm.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,409 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mmu.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,409 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rocc.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,410 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/trap.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,410 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mmu.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,410 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/bootrom.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,410 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/devices.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,411 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,411 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomaxu_w.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,411 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remuw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,411 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,411 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sltiu.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,412 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ebreak.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,412 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_l.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,412 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,412 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_j.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,412 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,413 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_flw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,413 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/andi.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,413 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_lu.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,413 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lb.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,413 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,413 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slliw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,414 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slli.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,414 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsq.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,414 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrs.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,414 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,414 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,415 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lhu.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,415 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,415 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,415 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sraw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,415 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,416 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slti.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,416 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_li.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,416 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/dret.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,416 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,416 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,417 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bge.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,417 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_ebreak.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,417 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_add.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,417 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,417 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_xor.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,417 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,418 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bne.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,418 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,418 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ld.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,418 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lr_w.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,418 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_subw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,419 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,419 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_swsp.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,419 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/add.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,419 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mret.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,419 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,420 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,420 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,420 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_flwsp.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,420 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lwu.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,420 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsdsp.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,421 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divuw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,421 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,421 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,421 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_and.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,421 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_andi.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,422 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/div.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,422 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,422 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrsi.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,422 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,422 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/xori.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,422 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoswap_w.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,423 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,423 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,423 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,423 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,423 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,424 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,424 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amominu_w.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,424 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrci.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,424 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ecall.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,424 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,425 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/wfi.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,425 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jr.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,425 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lr_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,425 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,425 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sfence_vma.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,426 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bltu.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,426 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_wu.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,426 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,426 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/blt.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,426 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_wu.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,427 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomin_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,427 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lui.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,427 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_beqz.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,427 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,427 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,427 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,428 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_sub.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,428 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,428 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fld.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,428 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsd.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,428 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_l.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,429 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,429 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sub.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,429 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/beq.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,429 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_d_x.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,429 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divu.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,430 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,430 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,430 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sret.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,430 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lbu.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,430 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slt.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,431 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,431 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,431 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/jalr.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,431 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flq.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,431 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,432 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sc_w.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,432 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,432 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sd.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,432 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_w.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,432 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,433 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fence.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,433 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,433 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,433 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addi.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,433 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_x_w.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,434 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_l.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,434 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_srli.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,434 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,434 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,434 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/or.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,435 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fldsp.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,435 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoswap_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,435 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_w.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,435 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoxor_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,435 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/and.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,435 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lh.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,436 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addiw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,436 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sc_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,436 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,436 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_x_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,436 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoor_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,437 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sltu.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,437 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ori.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,437 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,437 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoadd_w.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,437 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/subw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,438 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/xor.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,438 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,438 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,438 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_slli.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,438 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,439 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addi.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,439 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,439 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoxor_w.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,439 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,439 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,440 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,440 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lwsp.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,440 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,440 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,440 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fence_i.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,441 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomaxu_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,441 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srliw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,441 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,441 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sraiw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,441 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jalr.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,442 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,442 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,442 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addi4spn.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,442 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,442 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsd.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,443 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,443 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,443 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/auipc.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,443 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoand_w.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,443 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srli.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,444 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sllw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,444 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,444 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,444 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sh.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,444 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,445 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jal.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,445 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_lu.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,445 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,445 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,445 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,446 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomin_w.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,446 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fld.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,446 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_or.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,446 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_wu.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,446 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,447 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_srai.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,447 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remu.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,447 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,447 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srlw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,447 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,448 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srl.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,448 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,448 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomax_w.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,448 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrc.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,448 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sb.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,449 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_w_x.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,449 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,449 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/jal.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,449 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,449 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulhsu.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,450 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,450 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_q.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,450 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_w.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,450 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoadd_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,450 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amominu_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,451 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bgeu.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,451 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_bnez.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,451 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srai.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,451 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_lu.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,451 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mul.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,452 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sra.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,452 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fswsp.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,452 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lui.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,452 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sll.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,452 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,453 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomax_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,453 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulhu.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,453 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,453 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_sw.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,453 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_mv.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,454 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,454 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,454 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/rem.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,454 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulh.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,454 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoand_d.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,455 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,455 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrwi.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,455 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_s.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,455 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoor_w.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns 2024-01-19 02:41:06,455 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/riscv.ac -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,456 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extensions.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,456 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/gen_icache -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,456 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/tracer.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,456 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/clint.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,456 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extension.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,457 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/riscv.mk.in -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,457 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_rom_defines.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,457 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/interactive.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,457 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rom.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,457 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/jtag_dtm.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,458 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_module.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,458 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extension.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,458 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/regnames.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,458 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/decode.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,458 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_defines.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,459 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/common.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,459 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/processor.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,459 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/encoding.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,459 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/devices.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,460 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/memtracer.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,460 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/opcodes.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,460 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/cachesim.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,460 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_module.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,460 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dump.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,461 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/remote_bitbang.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv 2024-01-19 02:41:06,461 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-dummy_rocc.pc.in -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim 2024-01-19 02:41:06,461 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-01-19 02:41:06,461 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/tb.list -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-01-19 02:41:06,461 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-01-19 02:41:06,462 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/wave.do -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-01-19 02:41:06,462 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-01-19 02:41:06,462 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/mem_emul.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-01-19 02:41:06,462 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-01-19 02:41:06,462 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tb_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-01-19 02:41:06,463 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tlb_emul.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl 2024-01-19 02:41:06,463 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache 2024-01-19 02:41:06,463 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,463 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/sim_spike.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,463 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/remote_bitbang.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,463 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/SimJTAG.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,464 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/bootrom.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,464 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/msim_helper.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,464 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/dromajo_cosim_dpi.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,464 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/elfloader.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,464 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/sim_spike.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,465 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/SimDTM.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,465 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/msim_helper.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,465 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/spike.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,465 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/verilator.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,465 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/remote_bitbang.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi 2024-01-19 02:41:06,466 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-01-19 02:41:06,466 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/tb.list -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-01-19 02:41:06,466 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-01-19 02:41:06,466 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 02:41:06,466 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_rem.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 02:41:06,466 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_div.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 02:41:06,467 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_urem.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 02:41:06,467 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 02:41:06,467 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 02:41:06,467 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_udiv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl 2024-01-19 02:41:06,468 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv 2024-01-19 02:41:06,468 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 02:41:06,468 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-01-19 02:41:06,468 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/tb.list -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-01-19 02:41:06,468 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-01-19 02:41:06,468 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/wave.do -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-01-19 02:41:06,469 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/hdl 2024-01-19 02:41:06,469 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/hdl/tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/hdl 2024-01-19 02:41:06,469 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache 2024-01-19 02:41:06,469 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-01-19 02:41:06,469 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/tb.list -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-01-19 02:41:06,470 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-01-19 02:41:06,470 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/wave.do -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-01-19 02:41:06,470 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl 2024-01-19 02:41:06,470 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl/tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl 2024-01-19 02:41:06,470 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl/tb_mem.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl 2024-01-19 02:41:06,471 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache 2024-01-19 02:41:06,471 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-01-19 02:41:06,471 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/tb.list -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-01-19 02:41:06,471 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-01-19 02:41:06,471 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/wave.do -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-01-19 02:41:06,472 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/hdl 2024-01-19 02:41:06,472 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/hdl/tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/hdl 2024-01-19 02:41:06,472 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache 2024-01-19 02:41:06,472 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/rvfi_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 02:41:06,472 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_testharness.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 02:41:06,473 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_axi_soc_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 02:41:06,473 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/wave 2024-01-19 02:41:06,473 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/wave/wave_core.do -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/wave 2024-01-19 02:41:06,474 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_tb.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb 2024-01-19 02:41:06,474 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,474 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/spike.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,474 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/uart.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,474 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/mock_uart.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,475 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/dp_ram.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,475 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_amoport.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,475 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_readport.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,475 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/string_buffer.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,475 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_dcache_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,476 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/assign.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,476 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/SimJTAG.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,476 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_writeport.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,476 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/SimDTM.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,476 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/core_mem.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,477 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/tb/common 2024-01-19 02:41:06,477 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/include 2024-01-19 02:41:06,477 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/include/traced_instr_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/include 2024-01-19 02:41:06,477 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 02:41:06,477 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/ariane.dts -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 02:41:06,477 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 02:41:06,478 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 02:41:06,478 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 02:41:06,478 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/gen_rom.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 02:41:06,478 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/linker.ld -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 02:41:06,478 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 02:41:06,479 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/encoding.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 02:41:06,479 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 02:41:06,479 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/dromajo_bootrom.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom 2024-01-19 02:41:06,479 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-01-19 02:41:06,479 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/clint/clint.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-01-19 02:41:06,480 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/clint/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-01-19 02:41:06,480 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/clint/axi_lite_interface.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/clint 2024-01-19 02:41:06,480 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton 2024-01-19 02:41:06,480 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/riscv_peripherals.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton 2024-01-19 02:41:06,481 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/ariane_verilog_wrap.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton 2024-01-19 02:41:06,481 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom 2024-01-19 02:41:06,481 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 02:41:06,481 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 02:41:06,481 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/bootrom.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 02:41:06,481 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/gen_rom.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 02:41:06,482 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/linker.ld -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 02:41:06,482 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal 2024-01-19 02:41:06,482 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom 2024-01-19 02:41:06,482 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 02:41:06,482 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/startup.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 02:41:06,483 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 02:41:06,483 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 02:41:06,483 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/sd.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 02:41:06,483 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/gpt.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 02:41:06,483 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/gpt.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 02:41:06,484 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/uart.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 02:41:06,484 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/sd.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 02:41:06,484 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/uart.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 02:41:06,484 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/spi.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 02:41:06,484 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/spi.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 02:41:06,484 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/main.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 02:41:06,485 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/smp.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src 2024-01-19 02:41:06,485 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/platform.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 02:41:06,485 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 02:41:06,485 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/linker.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 02:41:06,485 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/gen_rom.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 02:41:06,486 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux 2024-01-19 02:41:06,486 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/LICENSE -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,486 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/pd 2024-01-19 02:41:06,486 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 02:41:06,486 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 02:41:06,487 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/cva6_read.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 02:41:06,487 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/tc_sram_wrapper_256_64_00000008_00000001_00000001_none_0.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 02:41:06,487 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-01-19 02:41:06,487 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/scripts/dc_setup_filenames.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-01-19 02:41:06,487 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/scripts/gate_analysis.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-01-19 02:41:06,488 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/scripts/dc_setup.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth/scripts 2024-01-19 02:41:06,488 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/cva6_synth.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 02:41:06,488 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/pd/synth/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/pd/synth 2024-01-19 02:41:06,488 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,488 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/CHANGELOG.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,488 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/config_pkg_generator.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,489 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/CONTRIBUTING.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,489 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,489 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/riscv-fp-tests.list -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,489 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/install-dtc.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,489 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/torture_make.patch -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,490 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/riscv-benchmarks.list -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,490 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/build-riscv-tests.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,490 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/get-torture.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,490 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/riscv-asm-tests.list -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,490 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/path-setup.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,491 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/make-tmp.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,491 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/install-verilator.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,491 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/default.config -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,491 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/check-tests.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,491 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/install-spike.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,492 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/install-riscvpk.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,492 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/float.config -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,492 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/riscv-amo-tests.list -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,492 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/travis-ci-emul.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,492 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/riscv-mul-tests.list -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,492 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/gitlab-ci-emul.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,493 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/install-fesvr.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,493 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/setup.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,493 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ci/build-riscv-gcc.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/ci 2024-01-19 02:41:06,493 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/LICENSE.Berkeley -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,493 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/init_testharness.do -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,494 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/.editorconfig -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,494 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,494 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/pcgen_stage.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,494 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/make.bat -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,494 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/intro.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,494 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/ex_stage.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,495 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/if_stage.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,495 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/id_stage.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,495 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,495 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/cva6_soc.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,496 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-01-19 02:41:06,496 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/make.bat -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-01-19 02:41:06,496 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 02:41:06,496 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images/ariane_overview.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 02:41:06,496 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images/frontend_modules.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 02:41:06,497 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images/jade_design_automation_logo.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 02:41:06,497 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images/subsystems.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 02:41:06,497 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images/CVA6_subsystems.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 02:41:06,497 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images/bht.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 02:41:06,498 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/images/openhw-landscape.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/images 2024-01-19 02:41:06,498 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-01-19 02:41:06,498 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-01-19 02:41:06,498 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 02:41:06,499 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_system.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 02:41:06,499 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_frontend.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 02:41:06,499 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_intro.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 02:41:06,499 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/CV32A6_CSR.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 02:41:06,499 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/CV32A6_CSR.xml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 02:41:06,500 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/conf.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 02:41:06,500 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_glossary.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 02:41:06,500 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/index.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec/source 2024-01-19 02:41:06,501 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/design_spec/requirements.txt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/design_spec 2024-01-19 02:41:06,501 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/conf.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,501 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/issue_stage.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,501 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,501 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/ariane_overview.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,502 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/mmu_blockdiagramm.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,502 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/bg.jpg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,504 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/lsu_blockdiagram.pdf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,505 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/scoreboard.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,506 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/branch_prediction.pdf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,507 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/instr_realign.pdf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,509 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/uvm_fu_tb.ai -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,512 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/scoreboard.pdf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,514 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/logonav.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,514 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/lsu_blockdiagram.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,515 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/fpga_bd.pdf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,516 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/jekyll-dark.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,516 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/ariane_overview.pdf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,518 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/ld_pipeline_diagram.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,518 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/uvm_fu_tb.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,518 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/mmu_blockdiagramm.pdf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,519 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/instr_realign.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,520 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/openhw-landscape.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,520 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/fpga_bd.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,521 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/jekyll.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,521 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/_static/branch_prediction.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/_static 2024-01-19 02:41:06,521 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/commit_stage.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,521 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/index.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,522 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/specifications 2024-01-19 02:41:06,522 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/specifications/cva6_requirement_specification.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/specifications 2024-01-19 02:41:06,522 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/specifications/images 2024-01-19 02:41:06,522 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/specifications/images/cva6_scope.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/specifications/images 2024-01-19 02:41:06,522 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,523 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/user_guide 2024-01-19 02:41:06,523 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/user_guide/cva6_ug_csr.adoc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs/user_guide 2024-01-19 02:41:06,523 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/docs/requirements.txt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/docs 2024-01-19 02:41:06,523 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/scripts 2024-01-19 02:41:06,523 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/scripts/parse_ila_trace.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/scripts 2024-01-19 02:41:06,524 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/common 2024-01-19 02:41:06,524 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/common/local 2024-01-19 02:41:06,524 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib 2024-01-19 02:41:06,524 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga 2024-01-19 02:41:06,524 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 02:41:06,524 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRamBeNx64.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 02:41:06,525 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRamBeNx32.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 02:41:06,525 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncDpRam.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 02:41:06,525 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncTpRam.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 02:41:06,525 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRam.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl 2024-01-19 02:41:06,526 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 02:41:06,526 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/instr_tracer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 02:41:06,526 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/tc_sram_wrapper.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 02:41:06,526 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/instr_tracer_if.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 02:41:06,526 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/find_first_one.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 02:41:06,526 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/ex_trace_item.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 02:41:06,527 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/instr_trace_item.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 02:41:06,527 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/sram.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 02:41:06,527 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/common/local/util/tc_sram_fpga_wrapper.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/common/local/util 2024-01-19 02:41:06,527 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,528 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,528 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/load_unit.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,528 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/ariane.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,528 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 02:41:06,528 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 02:41:06,529 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/tb 2024-01-19 02:41:06,529 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/tb/pmp_tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/tb 2024-01-19 02:41:06,529 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/tb/tb_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/tb 2024-01-19 02:41:06,529 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/src 2024-01-19 02:41:06,529 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/src/pmp_entry.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/src 2024-01-19 02:41:06,529 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/src/pmp.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/src 2024-01-19 02:41:06,530 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/include 2024-01-19 02:41:06,530 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/include/riscv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp/include 2024-01-19 02:41:06,530 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 02:41:06,530 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/formal.sby -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 02:41:06,530 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 02:41:06,531 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/pmp/Bender.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/pmp 2024-01-19 02:41:06,531 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/issue_read_operands.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,531 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/lsu_bypass.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,531 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/amo_buffer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,531 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imac_sv0 -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,532 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/Flist.cv32a60x_gate -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,532 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/csr_regfile.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,532 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imafc_sv32 -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,532 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/compressed_decoder.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,532 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/load_store_unit.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,533 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cva6.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,533 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-01-19 02:41:06,533 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv39/tlb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-01-19 02:41:06,533 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv39/ptw.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-01-19 02:41:06,534 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv39/mmu.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv39 2024-01-19 02:41:06,534 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,534 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/cvxif_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,534 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/riscv_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,534 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imac_sv32_config_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,535 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/cv64a6_imafdc_sv39_config_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,535 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/wt_cache_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,535 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/cv32a60x_config_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,535 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imac_sv0_config_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,535 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/std_cache_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,536 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/ariane_rvfi_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,536 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/ariane_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,536 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/axi_intf.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,536 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/cv64a6_imafdc_sv39_openpiton_config_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,536 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/ariane_axi_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,537 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imafc_sv32_config_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,537 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/include/instr_tracer_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/include 2024-01-19 02:41:06,537 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imac_sv32 -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,537 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/ex_stage.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,537 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/issue_stage.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,538 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/branch_unit.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,538 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/csr_buffer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,538 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,538 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_l15_adapter.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,538 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/amo_alu.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,539 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cache_ctrl.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,539 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_missunit.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,539 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_ctrl.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,539 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/miss_handler.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,540 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/tag_cmp.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,540 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_wbuffer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,540 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_nbdcache.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,540 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_mem.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,540 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_no_dcache.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,541 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_axi_adapter.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,541 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,541 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_cache_subsystem.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,541 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_cache_subsystem.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,542 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cva6_icache_axi_wrapper.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,542 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cva6_icache.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/cache_subsystem 2024-01-19 02:41:06,542 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/Flist.cv64a6_imafdc_sv39_gate -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,542 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/instr_realign.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,542 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/id_stage.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,543 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/alu.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,543 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/decoder.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,543 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/Flist.cv32a60x -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,543 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/ariane_regfile.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,544 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/commit_stage.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,544 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_fu.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,544 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/cvxif_example 2024-01-19 02:41:06,544 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/cvxif_example/include 2024-01-19 02:41:06,544 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example/include/cvxif_instr_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/cvxif_example/include 2024-01-19 02:41:06,544 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example/instr_decoder.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/cvxif_example 2024-01-19 02:41:06,545 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/cvxif_example/cvxif_example_coprocessor.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/cvxif_example 2024-01-19 02:41:06,545 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/mult.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,545 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/perf_counters.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,545 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/store_buffer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,545 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/Flist.cv64a6_imafdc_sv39 -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,546 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/axi_adapter.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,546 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/scoreboard.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,546 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/controller.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,546 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/example_tb 2024-01-19 02:41:06,546 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/example_tb/verilator_results 2024-01-19 02:41:06,547 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/example_tb/verilator_results/Vcva6_core_only_tb -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/example_tb/verilator_results 2024-01-19 02:41:06,550 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/serdiv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,550 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/dromajo_ram.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,551 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 02:41:06,551 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/frontend/btb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 02:41:06,551 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/frontend/instr_queue.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 02:41:06,551 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/frontend/instr_scan.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 02:41:06,551 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/frontend/ras.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 02:41:06,552 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/frontend/bht.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 02:41:06,552 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/frontend/frontend.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/frontend 2024-01-19 02:41:06,552 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/fpu_wrap.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,552 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/store_unit.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,553 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/axi_shim.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,553 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/ariane_regfile_ff.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,553 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/re_name.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,553 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-01-19 02:41:06,554 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_tlb_sv32.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-01-19 02:41:06,554 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_ptw_sv32.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-01-19 02:41:06,554 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_mmu_sv32.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core/mmu_sv32 2024-01-19 02:41:06,554 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/core/multiplier.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog/core 2024-01-19 02:41:06,555 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/LICENSE.SiFive -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,555 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/Bender.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,555 root INFO copying build/lib/pythondata_cpu_cva6/system_verilog/ariane.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6/system_verilog 2024-01-19 02:41:06,555 root INFO copying build/lib/pythondata_cpu_cva6/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6 2024-01-19 02:41:06,556 root INFO running install_egg_info 2024-01-19 02:41:06,559 root INFO Copying pythondata_cpu_cva6.egg-info to build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6-4.2.0.post435-py3.11.egg-info 2024-01-19 02:41:06,561 root INFO running install_scripts 2024-01-19 02:41:06,562 wheel INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_cva6-4.2.0.post435.dist-info/WHEEL 2024-01-19 02:41:06,563 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/pythondata-cpu-cva6-2023.12/dist/.tmp-4lgwq6qo/pythondata_cpu_cva6-4.2.0.post435-py3-none-any.whl' and adding 'build/bdist.linux-x86_64/wheel' to it 2024-01-19 02:41:06,563 wheel INFO adding 'pythondata_cpu_cva6/__init__.py' 2024-01-19 02:41:06,564 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.editorconfig' 2024-01-19 02:41:06,564 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.gitignore' 2024-01-19 02:41:06,564 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.gitlab-ci.yml' 2024-01-19 02:41:06,565 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.gitmodules' 2024-01-19 02:41:06,565 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/Bender.yml' 2024-01-19 02:41:06,565 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/CHANGELOG.md' 2024-01-19 02:41:06,566 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/CODEOWNERS' 2024-01-19 02:41:06,566 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/CONTRIBUTING.md' 2024-01-19 02:41:06,566 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/Flist.ariane' 2024-01-19 02:41:06,566 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/LICENSE' 2024-01-19 02:41:06,567 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/LICENSE.Berkeley' 2024-01-19 02:41:06,567 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/LICENSE.SiFive' 2024-01-19 02:41:06,567 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/Makefile' 2024-01-19 02:41:06,568 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/README.md' 2024-01-19 02:41:06,568 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ariane.core' 2024-01-19 02:41:06,568 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/config_pkg_generator.py' 2024-01-19 02:41:06,569 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/init_testharness.do' 2024-01-19 02:41:06,569 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/src_files.yml' 2024-01-19 02:41:06,569 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE/bug.yaml' 2024-01-19 02:41:06,569 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.github/ISSUE_TEMPLATE/task.yaml' 2024-01-19 02:41:06,570 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.github/workflows/ci.yml' 2024-01-19 02:41:06,570 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.gitlab-ci/core-v-verif-cva6.yml' 2024-01-19 02:41:06,570 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/.gitlab-ci/cva6.yml' 2024-01-19 02:41:06,570 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/build-riscv-gcc.sh' 2024-01-19 02:41:06,571 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/build-riscv-tests.sh' 2024-01-19 02:41:06,571 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/check-tests.sh' 2024-01-19 02:41:06,571 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/default.config' 2024-01-19 02:41:06,571 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/float.config' 2024-01-19 02:41:06,571 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/get-torture.sh' 2024-01-19 02:41:06,572 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/gitlab-ci-emul.sh' 2024-01-19 02:41:06,572 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/install-dtc.sh' 2024-01-19 02:41:06,572 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/install-fesvr.sh' 2024-01-19 02:41:06,572 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/install-riscvpk.sh' 2024-01-19 02:41:06,572 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/install-spike.sh' 2024-01-19 02:41:06,573 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/install-verilator.sh' 2024-01-19 02:41:06,573 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/make-tmp.sh' 2024-01-19 02:41:06,573 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/path-setup.sh' 2024-01-19 02:41:06,573 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/riscv-amo-tests.list' 2024-01-19 02:41:06,573 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/riscv-asm-tests.list' 2024-01-19 02:41:06,574 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/riscv-benchmarks.list' 2024-01-19 02:41:06,574 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/riscv-fp-tests.list' 2024-01-19 02:41:06,574 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/riscv-mul-tests.list' 2024-01-19 02:41:06,574 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/setup.sh' 2024-01-19 02:41:06,575 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/torture_make.patch' 2024-01-19 02:41:06,575 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/ci/travis-ci-emul.sh' 2024-01-19 02:41:06,575 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncDpRam.sv' 2024-01-19 02:41:06,575 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRam.sv' 2024-01-19 02:41:06,576 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRamBeNx32.sv' 2024-01-19 02:41:06,576 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncSpRamBeNx64.sv' 2024-01-19 02:41:06,576 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/techlib/fpga/rtl/SyncTpRam.sv' 2024-01-19 02:41:06,577 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/ex_trace_item.svh' 2024-01-19 02:41:06,577 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/find_first_one.sv' 2024-01-19 02:41:06,577 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/instr_trace_item.svh' 2024-01-19 02:41:06,578 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/instr_tracer.sv' 2024-01-19 02:41:06,578 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/instr_tracer_if.sv' 2024-01-19 02:41:06,578 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/sram.sv' 2024-01-19 02:41:06,578 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/tc_sram_fpga_wrapper.sv' 2024-01-19 02:41:06,579 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/common/local/util/tc_sram_wrapper.sv' 2024-01-19 02:41:06,579 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/Flist.cv32a60x' 2024-01-19 02:41:06,579 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/Flist.cv32a60x_gate' 2024-01-19 02:41:06,580 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imac_sv0' 2024-01-19 02:41:06,580 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imac_sv32' 2024-01-19 02:41:06,580 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/Flist.cv32a6_imafc_sv32' 2024-01-19 02:41:06,580 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/Flist.cv64a6_imafdc_sv39' 2024-01-19 02:41:06,581 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/Flist.cv64a6_imafdc_sv39_gate' 2024-01-19 02:41:06,581 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/alu.sv' 2024-01-19 02:41:06,581 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/amo_buffer.sv' 2024-01-19 02:41:06,581 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/ariane.sv' 2024-01-19 02:41:06,582 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/ariane_regfile.sv' 2024-01-19 02:41:06,582 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/ariane_regfile_ff.sv' 2024-01-19 02:41:06,582 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/axi_adapter.sv' 2024-01-19 02:41:06,583 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/axi_shim.sv' 2024-01-19 02:41:06,583 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/branch_unit.sv' 2024-01-19 02:41:06,583 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/commit_stage.sv' 2024-01-19 02:41:06,584 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/compressed_decoder.sv' 2024-01-19 02:41:06,584 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/controller.sv' 2024-01-19 02:41:06,584 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/csr_buffer.sv' 2024-01-19 02:41:06,585 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/csr_regfile.sv' 2024-01-19 02:41:06,585 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cva6.sv' 2024-01-19 02:41:06,585 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cvxif_fu.sv' 2024-01-19 02:41:06,586 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/decoder.sv' 2024-01-19 02:41:06,586 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/dromajo_ram.sv' 2024-01-19 02:41:06,587 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/ex_stage.sv' 2024-01-19 02:41:06,587 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/fpu_wrap.sv' 2024-01-19 02:41:06,587 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/id_stage.sv' 2024-01-19 02:41:06,588 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/instr_realign.sv' 2024-01-19 02:41:06,588 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/issue_read_operands.sv' 2024-01-19 02:41:06,588 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/issue_stage.sv' 2024-01-19 02:41:06,589 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/load_store_unit.sv' 2024-01-19 02:41:06,589 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/load_unit.sv' 2024-01-19 02:41:06,589 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/lsu_bypass.sv' 2024-01-19 02:41:06,589 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/mult.sv' 2024-01-19 02:41:06,590 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/multiplier.sv' 2024-01-19 02:41:06,590 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/perf_counters.sv' 2024-01-19 02:41:06,590 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/re_name.sv' 2024-01-19 02:41:06,591 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/scoreboard.sv' 2024-01-19 02:41:06,591 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/serdiv.sv' 2024-01-19 02:41:06,591 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/store_buffer.sv' 2024-01-19 02:41:06,592 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/store_unit.sv' 2024-01-19 02:41:06,592 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/amo_alu.sv' 2024-01-19 02:41:06,592 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cache_ctrl.sv' 2024-01-19 02:41:06,593 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cva6_icache.sv' 2024-01-19 02:41:06,593 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/cva6_icache_axi_wrapper.sv' 2024-01-19 02:41:06,593 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/miss_handler.sv' 2024-01-19 02:41:06,594 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_cache_subsystem.sv' 2024-01-19 02:41:06,594 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_nbdcache.sv' 2024-01-19 02:41:06,594 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/std_no_dcache.sv' 2024-01-19 02:41:06,595 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/tag_cmp.sv' 2024-01-19 02:41:06,595 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_axi_adapter.sv' 2024-01-19 02:41:06,595 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_cache_subsystem.sv' 2024-01-19 02:41:06,596 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache.sv' 2024-01-19 02:41:06,596 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_ctrl.sv' 2024-01-19 02:41:06,596 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_mem.sv' 2024-01-19 02:41:06,597 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_missunit.sv' 2024-01-19 02:41:06,597 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_dcache_wbuffer.sv' 2024-01-19 02:41:06,598 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cache_subsystem/wt_l15_adapter.sv' 2024-01-19 02:41:06,598 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cvxif_example/cvxif_example_coprocessor.sv' 2024-01-19 02:41:06,599 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cvxif_example/instr_decoder.sv' 2024-01-19 02:41:06,599 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/cvxif_example/include/cvxif_instr_pkg.sv' 2024-01-19 02:41:06,604 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/example_tb/verilator_results/Vcva6_core_only_tb' 2024-01-19 02:41:06,614 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/frontend/bht.sv' 2024-01-19 02:41:06,615 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/frontend/btb.sv' 2024-01-19 02:41:06,615 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/frontend/frontend.sv' 2024-01-19 02:41:06,615 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/frontend/instr_queue.sv' 2024-01-19 02:41:06,616 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/frontend/instr_scan.sv' 2024-01-19 02:41:06,616 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/frontend/ras.sv' 2024-01-19 02:41:06,616 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/ariane_axi_pkg.sv' 2024-01-19 02:41:06,616 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/ariane_pkg.sv' 2024-01-19 02:41:06,617 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/ariane_rvfi_pkg.sv' 2024-01-19 02:41:06,617 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/axi_intf.sv' 2024-01-19 02:41:06,617 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/cv32a60x_config_pkg.sv' 2024-01-19 02:41:06,618 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imac_sv0_config_pkg.sv' 2024-01-19 02:41:06,618 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imac_sv32_config_pkg.sv' 2024-01-19 02:41:06,618 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/cv32a6_imafc_sv32_config_pkg.sv' 2024-01-19 02:41:06,618 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/cv64a6_imafdc_sv39_config_pkg.sv' 2024-01-19 02:41:06,618 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/cv64a6_imafdc_sv39_openpiton_config_pkg.sv' 2024-01-19 02:41:06,619 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/cvxif_pkg.sv' 2024-01-19 02:41:06,619 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/instr_tracer_pkg.sv' 2024-01-19 02:41:06,619 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/riscv_pkg.sv' 2024-01-19 02:41:06,620 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/std_cache_pkg.sv' 2024-01-19 02:41:06,620 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/include/wt_cache_pkg.sv' 2024-01-19 02:41:06,620 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_mmu_sv32.sv' 2024-01-19 02:41:06,621 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_ptw_sv32.sv' 2024-01-19 02:41:06,621 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/mmu_sv32/cva6_tlb_sv32.sv' 2024-01-19 02:41:06,621 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/mmu_sv39/mmu.sv' 2024-01-19 02:41:06,622 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/mmu_sv39/ptw.sv' 2024-01-19 02:41:06,622 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/mmu_sv39/tlb.sv' 2024-01-19 02:41:06,622 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/.gitignore' 2024-01-19 02:41:06,622 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/Bender.yml' 2024-01-19 02:41:06,623 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/Makefile' 2024-01-19 02:41:06,623 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/README.md' 2024-01-19 02:41:06,623 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/formal.sby' 2024-01-19 02:41:06,623 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/include/riscv.sv' 2024-01-19 02:41:06,624 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/src/pmp.sv' 2024-01-19 02:41:06,624 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/src/pmp_entry.sv' 2024-01-19 02:41:06,624 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/tb/pmp_tb.sv' 2024-01-19 02:41:06,624 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/core/pmp/tb/tb_pkg.sv' 2024-01-19 02:41:06,625 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/.gitignore' 2024-01-19 02:41:06,625 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/Makefile' 2024-01-19 02:41:06,625 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/ariane.dts' 2024-01-19 02:41:06,625 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.S' 2024-01-19 02:41:06,625 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.h' 2024-01-19 02:41:06,626 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/bootrom.sv' 2024-01-19 02:41:06,626 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/dromajo_bootrom.sv' 2024-01-19 02:41:06,626 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/encoding.h' 2024-01-19 02:41:06,627 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/gen_rom.py' 2024-01-19 02:41:06,627 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/linker.ld' 2024-01-19 02:41:06,627 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/clint/README.md' 2024-01-19 02:41:06,627 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/clint/axi_lite_interface.sv' 2024-01-19 02:41:06,628 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/clint/clint.sv' 2024-01-19 02:41:06,628 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/Makefile' 2024-01-19 02:41:06,628 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane-multi-hart.cfg' 2024-01-19 02:41:06,628 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane.cfg' 2024-01-19 02:41:06,628 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane_pmod.cfg' 2024-01-19 02:41:06,629 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/ariane_pmod_tiny.cfg' 2024-01-19 02:41:06,629 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/sourceme.sh' 2024-01-19 02:41:06,629 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/ariane.xdc' 2024-01-19 02:41:06,629 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/genesys-2.xdc' 2024-01-19 02:41:06,630 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/kc705.xdc' 2024-01-19 02:41:06,630 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/vc707.xdc' 2024-01-19 02:41:06,631 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/constraints/vcu118.xdc' 2024-01-19 02:41:06,632 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/check_fpga_boot.sh' 2024-01-19 02:41:06,632 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/linux_boot.py' 2024-01-19 02:41:06,632 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/program.tcl' 2024-01-19 02:41:06,633 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/program_genesys2.tcl' 2024-01-19 02:41:06,633 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/prologue.tcl' 2024-01-19 02:41:06,633 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/run.tcl' 2024-01-19 02:41:06,633 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/write_cfgmem.tcl' 2024-01-19 02:41:06,634 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/ariane_peripherals_xilinx.sv' 2024-01-19 02:41:06,634 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/ariane_xilinx.sv' 2024-01-19 02:41:06,635 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/fan_ctrl.sv' 2024-01-19 02:41:06,635 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/genesysii.svh' 2024-01-19 02:41:06,635 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/kc705.svh' 2024-01-19 02:41:06,635 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/vc707.svh' 2024-01-19 02:41:06,635 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/vcu118.svh' 2024-01-19 02:41:06,636 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/.gitignore' 2024-01-19 02:41:06,636 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/Makefile' 2024-01-19 02:41:06,636 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/README.md' 2024-01-19 02:41:06,636 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_32.h' 2024-01-19 02:41:06,637 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_32.sv' 2024-01-19 02:41:06,637 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_64.h' 2024-01-19 02:41:06,638 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/bootrom_64.sv' 2024-01-19 02:41:06,638 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/cv32a6.dts' 2024-01-19 02:41:06,638 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/cv64a6.dts' 2024-01-19 02:41:06,638 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/gen_rom.py' 2024-01-19 02:41:06,639 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/linker.lds' 2024-01-19 02:41:06,639 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/platform.h' 2024-01-19 02:41:06,639 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/startup.S' 2024-01-19 02:41:06,639 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/gpt.c' 2024-01-19 02:41:06,639 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/gpt.h' 2024-01-19 02:41:06,640 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/main.c' 2024-01-19 02:41:06,640 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/sd.c' 2024-01-19 02:41:06,640 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/sd.h' 2024-01-19 02:41:06,640 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/smp.h' 2024-01-19 02:41:06,641 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/spi.c' 2024-01-19 02:41:06,641 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/spi.h' 2024-01-19 02:41:06,641 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/uart.c' 2024-01-19 02:41:06,641 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/src/uart.h' 2024-01-19 02:41:06,641 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/.gitignore' 2024-01-19 02:41:06,642 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/ariane_xlnx_ip.yml' 2024-01-19 02:41:06,642 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/common.mk' 2024-01-19 02:41:06,642 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/Makefile' 2024-01-19 02:41:06,642 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_clock_converter/tcl/run.tcl' 2024-01-19 02:41:06,643 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/Makefile' 2024-01-19 02:41:06,643 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter/tcl/run.tcl' 2024-01-19 02:41:06,643 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/Makefile' 2024-01-19 02:41:06,643 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_master/tcl/run.tcl' 2024-01-19 02:41:06,643 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/Makefile' 2024-01-19 02:41:06,644 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_dwidth_converter_dm_slave/tcl/run.tcl' 2024-01-19 02:41:06,644 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/Makefile' 2024-01-19 02:41:06,644 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_gpio/tcl/run.tcl' 2024-01-19 02:41:06,644 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/Makefile' 2024-01-19 02:41:06,645 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_axi_quad_spi/tcl/run.tcl' 2024-01-19 02:41:06,645 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/Makefile' 2024-01-19 02:41:06,645 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_clk_gen/tcl/run.tcl' 2024-01-19 02:41:06,645 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/Makefile' 2024-01-19 02:41:06,646 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_ila/tcl/run.tcl' 2024-01-19 02:41:06,646 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/Makefile' 2024-01-19 02:41:06,646 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_genesys2.prj' 2024-01-19 02:41:06,646 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_kc705.prj' 2024-01-19 02:41:06,647 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/mig_vc707.prj' 2024-01-19 02:41:06,647 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_mig_7_ddr3/tcl/run.tcl' 2024-01-19 02:41:06,647 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/Makefile' 2024-01-19 02:41:06,648 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/fpga/xilinx/xlnx_protocol_checker/tcl/run.tcl' 2024-01-19 02:41:06,648 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/include/traced_instr_pkg.sv' 2024-01-19 02:41:06,648 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/ariane_verilog_wrap.sv' 2024-01-19 02:41:06,648 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/riscv_peripherals.sv' 2024-01-19 02:41:06,649 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/.gitignore' 2024-01-19 02:41:06,649 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/.gitignore' 2024-01-19 02:41:06,649 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/Makefile' 2024-01-19 02:41:06,649 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/bootrom.S' 2024-01-19 02:41:06,650 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/gen_rom.py' 2024-01-19 02:41:06,650 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/linker.ld' 2024-01-19 02:41:06,650 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/.gitignore' 2024-01-19 02:41:06,650 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/Makefile' 2024-01-19 02:41:06,651 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/README.md' 2024-01-19 02:41:06,651 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/gen_rom.py' 2024-01-19 02:41:06,651 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/linker.lds' 2024-01-19 02:41:06,651 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/platform.h' 2024-01-19 02:41:06,651 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/startup.S' 2024-01-19 02:41:06,652 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/gpt.c' 2024-01-19 02:41:06,652 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/gpt.h' 2024-01-19 02:41:06,652 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/main.c' 2024-01-19 02:41:06,652 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/sd.c' 2024-01-19 02:41:06,652 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/sd.h' 2024-01-19 02:41:06,653 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/smp.h' 2024-01-19 02:41:06,653 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/spi.c' 2024-01-19 02:41:06,653 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/spi.h' 2024-01-19 02:41:06,653 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/uart.c' 2024-01-19 02:41:06,653 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/src/uart.h' 2024-01-19 02:41:06,654 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_axi_soc_pkg.sv' 2024-01-19 02:41:06,654 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_peripherals.sv' 2024-01-19 02:41:06,654 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_soc_pkg.sv' 2024-01-19 02:41:06,655 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_tb.cpp' 2024-01-19 02:41:06,655 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_tb.sv' 2024-01-19 02:41:06,655 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/ariane_testharness.sv' 2024-01-19 02:41:06,655 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/rvfi_pkg.sv' 2024-01-19 02:41:06,656 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/rvfi_tracer.sv' 2024-01-19 02:41:06,656 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/SimDTM.sv' 2024-01-19 02:41:06,656 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/SimJTAG.sv' 2024-01-19 02:41:06,656 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/assign.svh' 2024-01-19 02:41:06,657 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/core_mem.sv' 2024-01-19 02:41:06,657 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/dp_ram.sv' 2024-01-19 02:41:06,657 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/mock_uart.sv' 2024-01-19 02:41:06,658 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/spike.sv' 2024-01-19 02:41:06,658 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/string_buffer.svh' 2024-01-19 02:41:06,658 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb.svh' 2024-01-19 02:41:06,658 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_amoport.sv' 2024-01-19 02:41:06,658 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_dcache_pkg.sv' 2024-01-19 02:41:06,659 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_readport.sv' 2024-01-19 02:41:06,659 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/tb_writeport.sv' 2024-01-19 02:41:06,659 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/common/uart.sv' 2024-01-19 02:41:06,660 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/SimDTM.cc' 2024-01-19 02:41:06,660 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/SimJTAG.cc' 2024-01-19 02:41:06,660 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/bootrom.h' 2024-01-19 02:41:06,660 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/dromajo_cosim_dpi.cc' 2024-01-19 02:41:06,661 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/elfloader.cc' 2024-01-19 02:41:06,661 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/msim_helper.cc' 2024-01-19 02:41:06,661 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/msim_helper.h' 2024-01-19 02:41:06,661 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/remote_bitbang.cc' 2024-01-19 02:41:06,661 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/remote_bitbang.h' 2024-01-19 02:41:06,662 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/sim_spike.cc' 2024-01-19 02:41:06,662 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/sim_spike.h' 2024-01-19 02:41:06,662 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/spike.cc' 2024-01-19 02:41:06,662 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/dpi/verilator.h' 2024-01-19 02:41:06,662 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/.gitignore' 2024-01-19 02:41:06,663 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/LICENSE' 2024-01-19 02:41:06,663 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/Makefile.in' 2024-01-19 02:41:06,663 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/README.md' 2024-01-19 02:41:06,663 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/aclocal.m4' 2024-01-19 02:41:06,664 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/config.h.in' 2024-01-19 02:41:06,664 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/configure' 2024-01-19 02:41:06,665 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/configure.ac' 2024-01-19 02:41:06,665 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-dummy_rocc.pc.in' 2024-01-19 02:41:06,666 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-riscv.pc.in' 2024-01-19 02:41:06,666 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-softfloat.pc.in' 2024-01-19 02:41:06,666 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-spike.pc.in' 2024-01-19 02:41:06,666 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv-spike_main.pc.in' 2024-01-19 02:41:06,667 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/.gitignore' 2024-01-19 02:41:06,667 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/Makefile' 2024-01-19 02:41:06,667 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/debug_rom.S' 2024-01-19 02:41:06,667 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/debug_rom.h' 2024-01-19 02:41:06,667 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/debug_rom/link.ld' 2024-01-19 02:41:06,668 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.ac' 2024-01-19 02:41:06,668 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.cc' 2024-01-19 02:41:06,668 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc.mk.in' 2024-01-19 02:41:06,668 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/dummy_rocc/dummy_rocc_test.c' 2024-01-19 02:41:06,669 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/bootrom.h' 2024-01-19 02:41:06,669 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/cachesim.cc' 2024-01-19 02:41:06,669 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/cachesim.h' 2024-01-19 02:41:06,669 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/clint.cc' 2024-01-19 02:41:06,669 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/common.h' 2024-01-19 02:41:06,670 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_defines.h' 2024-01-19 02:41:06,670 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_module.cc' 2024-01-19 02:41:06,671 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_module.h' 2024-01-19 02:41:06,671 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/debug_rom_defines.h' 2024-01-19 02:41:06,671 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/decode.h' 2024-01-19 02:41:06,671 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/devices.cc' 2024-01-19 02:41:06,672 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/devices.h' 2024-01-19 02:41:06,672 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/disasm.h' 2024-01-19 02:41:06,672 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dts.cc' 2024-01-19 02:41:06,672 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dts.h' 2024-01-19 02:41:06,672 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/dump.cc' 2024-01-19 02:41:06,673 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/encoding.h' 2024-01-19 02:41:06,673 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/execute.cc' 2024-01-19 02:41:06,673 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extension.cc' 2024-01-19 02:41:06,674 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extension.h' 2024-01-19 02:41:06,674 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/extensions.cc' 2024-01-19 02:41:06,674 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/gen_icache' 2024-01-19 02:41:06,674 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insn_template.cc' 2024-01-19 02:41:06,674 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insn_template.h' 2024-01-19 02:41:06,675 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/interactive.cc' 2024-01-19 02:41:06,675 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/jtag_dtm.cc' 2024-01-19 02:41:06,675 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/jtag_dtm.h' 2024-01-19 02:41:06,675 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/memtracer.h' 2024-01-19 02:41:06,676 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mmu.cc' 2024-01-19 02:41:06,676 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mmu.h' 2024-01-19 02:41:06,676 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/mulhi.h' 2024-01-19 02:41:06,676 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/opcodes.h' 2024-01-19 02:41:06,677 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/processor.cc' 2024-01-19 02:41:06,677 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/processor.h' 2024-01-19 02:41:06,677 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/regnames.cc' 2024-01-19 02:41:06,677 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/remote_bitbang.cc' 2024-01-19 02:41:06,678 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/remote_bitbang.h' 2024-01-19 02:41:06,678 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/riscv.ac' 2024-01-19 02:41:06,678 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/riscv.mk.in' 2024-01-19 02:41:06,678 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rocc.cc' 2024-01-19 02:41:06,679 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rocc.h' 2024-01-19 02:41:06,679 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/rom.cc' 2024-01-19 02:41:06,679 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/sim.cc' 2024-01-19 02:41:06,679 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/sim.h' 2024-01-19 02:41:06,679 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/simif.h' 2024-01-19 02:41:06,680 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/tracer.h' 2024-01-19 02:41:06,680 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/trap.cc' 2024-01-19 02:41:06,680 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/trap.h' 2024-01-19 02:41:06,680 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/uart.cc' 2024-01-19 02:41:06,681 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/add.h' 2024-01-19 02:41:06,681 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addi.h' 2024-01-19 02:41:06,681 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addiw.h' 2024-01-19 02:41:06,681 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/addw.h' 2024-01-19 02:41:06,682 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoadd_d.h' 2024-01-19 02:41:06,682 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoadd_w.h' 2024-01-19 02:41:06,682 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoand_d.h' 2024-01-19 02:41:06,682 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoand_w.h' 2024-01-19 02:41:06,682 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomax_d.h' 2024-01-19 02:41:06,683 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomax_w.h' 2024-01-19 02:41:06,683 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomaxu_d.h' 2024-01-19 02:41:06,683 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomaxu_w.h' 2024-01-19 02:41:06,683 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomin_d.h' 2024-01-19 02:41:06,683 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amomin_w.h' 2024-01-19 02:41:06,684 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amominu_d.h' 2024-01-19 02:41:06,684 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amominu_w.h' 2024-01-19 02:41:06,684 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoor_d.h' 2024-01-19 02:41:06,684 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoor_w.h' 2024-01-19 02:41:06,684 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoswap_d.h' 2024-01-19 02:41:06,685 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoswap_w.h' 2024-01-19 02:41:06,685 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoxor_d.h' 2024-01-19 02:41:06,685 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/amoxor_w.h' 2024-01-19 02:41:06,685 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/and.h' 2024-01-19 02:41:06,685 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/andi.h' 2024-01-19 02:41:06,686 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/auipc.h' 2024-01-19 02:41:06,686 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/beq.h' 2024-01-19 02:41:06,686 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bge.h' 2024-01-19 02:41:06,686 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bgeu.h' 2024-01-19 02:41:06,686 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/blt.h' 2024-01-19 02:41:06,687 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bltu.h' 2024-01-19 02:41:06,687 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/bne.h' 2024-01-19 02:41:06,687 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_add.h' 2024-01-19 02:41:06,687 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addi.h' 2024-01-19 02:41:06,687 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addi4spn.h' 2024-01-19 02:41:06,687 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_addw.h' 2024-01-19 02:41:06,688 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_and.h' 2024-01-19 02:41:06,688 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_andi.h' 2024-01-19 02:41:06,688 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_beqz.h' 2024-01-19 02:41:06,688 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_bnez.h' 2024-01-19 02:41:06,688 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_ebreak.h' 2024-01-19 02:41:06,689 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fld.h' 2024-01-19 02:41:06,689 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fldsp.h' 2024-01-19 02:41:06,689 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_flw.h' 2024-01-19 02:41:06,689 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_flwsp.h' 2024-01-19 02:41:06,689 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsd.h' 2024-01-19 02:41:06,690 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsdsp.h' 2024-01-19 02:41:06,690 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fsw.h' 2024-01-19 02:41:06,690 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_fswsp.h' 2024-01-19 02:41:06,690 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_j.h' 2024-01-19 02:41:06,690 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jal.h' 2024-01-19 02:41:06,691 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jalr.h' 2024-01-19 02:41:06,691 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_jr.h' 2024-01-19 02:41:06,691 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_li.h' 2024-01-19 02:41:06,691 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lui.h' 2024-01-19 02:41:06,691 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lw.h' 2024-01-19 02:41:06,692 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_lwsp.h' 2024-01-19 02:41:06,692 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_mv.h' 2024-01-19 02:41:06,692 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_or.h' 2024-01-19 02:41:06,692 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_slli.h' 2024-01-19 02:41:06,692 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_srai.h' 2024-01-19 02:41:06,693 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_srli.h' 2024-01-19 02:41:06,693 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_sub.h' 2024-01-19 02:41:06,693 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_subw.h' 2024-01-19 02:41:06,693 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_sw.h' 2024-01-19 02:41:06,693 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_swsp.h' 2024-01-19 02:41:06,694 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/c_xor.h' 2024-01-19 02:41:06,694 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrc.h' 2024-01-19 02:41:06,694 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrci.h' 2024-01-19 02:41:06,694 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrs.h' 2024-01-19 02:41:06,694 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrsi.h' 2024-01-19 02:41:06,695 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrw.h' 2024-01-19 02:41:06,695 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/csrrwi.h' 2024-01-19 02:41:06,695 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/div.h' 2024-01-19 02:41:06,695 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divu.h' 2024-01-19 02:41:06,695 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divuw.h' 2024-01-19 02:41:06,696 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/divw.h' 2024-01-19 02:41:06,696 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/dret.h' 2024-01-19 02:41:06,696 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ebreak.h' 2024-01-19 02:41:06,696 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ecall.h' 2024-01-19 02:41:06,696 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_d.h' 2024-01-19 02:41:06,696 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_q.h' 2024-01-19 02:41:06,697 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fadd_s.h' 2024-01-19 02:41:06,697 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_d.h' 2024-01-19 02:41:06,697 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_q.h' 2024-01-19 02:41:06,697 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fclass_s.h' 2024-01-19 02:41:06,697 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_l.h' 2024-01-19 02:41:06,698 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_lu.h' 2024-01-19 02:41:06,698 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_q.h' 2024-01-19 02:41:06,698 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_s.h' 2024-01-19 02:41:06,698 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_w.h' 2024-01-19 02:41:06,698 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_d_wu.h' 2024-01-19 02:41:06,699 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_d.h' 2024-01-19 02:41:06,699 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_q.h' 2024-01-19 02:41:06,699 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_l_s.h' 2024-01-19 02:41:06,699 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_d.h' 2024-01-19 02:41:06,699 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_q.h' 2024-01-19 02:41:06,700 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_lu_s.h' 2024-01-19 02:41:06,700 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_d.h' 2024-01-19 02:41:06,700 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_l.h' 2024-01-19 02:41:06,700 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_lu.h' 2024-01-19 02:41:06,700 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_s.h' 2024-01-19 02:41:06,701 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_w.h' 2024-01-19 02:41:06,701 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_q_wu.h' 2024-01-19 02:41:06,701 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_d.h' 2024-01-19 02:41:06,701 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_l.h' 2024-01-19 02:41:06,701 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_lu.h' 2024-01-19 02:41:06,702 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_q.h' 2024-01-19 02:41:06,702 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_w.h' 2024-01-19 02:41:06,702 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_s_wu.h' 2024-01-19 02:41:06,702 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_d.h' 2024-01-19 02:41:06,702 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_q.h' 2024-01-19 02:41:06,703 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_w_s.h' 2024-01-19 02:41:06,703 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_d.h' 2024-01-19 02:41:06,703 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_q.h' 2024-01-19 02:41:06,703 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fcvt_wu_s.h' 2024-01-19 02:41:06,703 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_d.h' 2024-01-19 02:41:06,703 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_q.h' 2024-01-19 02:41:06,704 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fdiv_s.h' 2024-01-19 02:41:06,704 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fence.h' 2024-01-19 02:41:06,704 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fence_i.h' 2024-01-19 02:41:06,704 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_d.h' 2024-01-19 02:41:06,704 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_q.h' 2024-01-19 02:41:06,705 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/feq_s.h' 2024-01-19 02:41:06,705 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fld.h' 2024-01-19 02:41:06,705 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_d.h' 2024-01-19 02:41:06,705 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_q.h' 2024-01-19 02:41:06,705 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fle_s.h' 2024-01-19 02:41:06,706 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flq.h' 2024-01-19 02:41:06,706 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_d.h' 2024-01-19 02:41:06,706 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_q.h' 2024-01-19 02:41:06,706 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flt_s.h' 2024-01-19 02:41:06,706 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/flw.h' 2024-01-19 02:41:06,707 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_d.h' 2024-01-19 02:41:06,707 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_q.h' 2024-01-19 02:41:06,707 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmadd_s.h' 2024-01-19 02:41:06,707 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_d.h' 2024-01-19 02:41:06,707 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_q.h' 2024-01-19 02:41:06,708 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmax_s.h' 2024-01-19 02:41:06,708 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_d.h' 2024-01-19 02:41:06,708 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_q.h' 2024-01-19 02:41:06,708 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmin_s.h' 2024-01-19 02:41:06,708 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_d.h' 2024-01-19 02:41:06,709 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_q.h' 2024-01-19 02:41:06,709 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmsub_s.h' 2024-01-19 02:41:06,709 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_d.h' 2024-01-19 02:41:06,709 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_q.h' 2024-01-19 02:41:06,709 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmul_s.h' 2024-01-19 02:41:06,710 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_d_x.h' 2024-01-19 02:41:06,710 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_w_x.h' 2024-01-19 02:41:06,710 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_x_d.h' 2024-01-19 02:41:06,710 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fmv_x_w.h' 2024-01-19 02:41:06,710 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_d.h' 2024-01-19 02:41:06,711 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_q.h' 2024-01-19 02:41:06,711 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmadd_s.h' 2024-01-19 02:41:06,711 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_d.h' 2024-01-19 02:41:06,711 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_q.h' 2024-01-19 02:41:06,711 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fnmsub_s.h' 2024-01-19 02:41:06,712 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsd.h' 2024-01-19 02:41:06,712 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_d.h' 2024-01-19 02:41:06,712 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_q.h' 2024-01-19 02:41:06,712 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnj_s.h' 2024-01-19 02:41:06,712 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_d.h' 2024-01-19 02:41:06,713 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_q.h' 2024-01-19 02:41:06,713 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjn_s.h' 2024-01-19 02:41:06,713 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_d.h' 2024-01-19 02:41:06,713 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_q.h' 2024-01-19 02:41:06,713 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsgnjx_s.h' 2024-01-19 02:41:06,714 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsq.h' 2024-01-19 02:41:06,714 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_d.h' 2024-01-19 02:41:06,714 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_q.h' 2024-01-19 02:41:06,714 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsqrt_s.h' 2024-01-19 02:41:06,714 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_d.h' 2024-01-19 02:41:06,715 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_q.h' 2024-01-19 02:41:06,715 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsub_s.h' 2024-01-19 02:41:06,715 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/fsw.h' 2024-01-19 02:41:06,715 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/jal.h' 2024-01-19 02:41:06,715 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/jalr.h' 2024-01-19 02:41:06,716 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lb.h' 2024-01-19 02:41:06,716 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lbu.h' 2024-01-19 02:41:06,716 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ld.h' 2024-01-19 02:41:06,716 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lh.h' 2024-01-19 02:41:06,716 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lhu.h' 2024-01-19 02:41:06,717 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lr_d.h' 2024-01-19 02:41:06,717 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lr_w.h' 2024-01-19 02:41:06,717 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lui.h' 2024-01-19 02:41:06,717 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lw.h' 2024-01-19 02:41:06,717 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/lwu.h' 2024-01-19 02:41:06,718 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mret.h' 2024-01-19 02:41:06,718 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mul.h' 2024-01-19 02:41:06,718 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulh.h' 2024-01-19 02:41:06,718 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulhsu.h' 2024-01-19 02:41:06,718 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulhu.h' 2024-01-19 02:41:06,719 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/mulw.h' 2024-01-19 02:41:06,719 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/or.h' 2024-01-19 02:41:06,719 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/ori.h' 2024-01-19 02:41:06,719 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/rem.h' 2024-01-19 02:41:06,719 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remu.h' 2024-01-19 02:41:06,719 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remuw.h' 2024-01-19 02:41:06,720 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/remw.h' 2024-01-19 02:41:06,720 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sb.h' 2024-01-19 02:41:06,720 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sc_d.h' 2024-01-19 02:41:06,720 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sc_w.h' 2024-01-19 02:41:06,720 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sd.h' 2024-01-19 02:41:06,721 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sfence_vma.h' 2024-01-19 02:41:06,721 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sh.h' 2024-01-19 02:41:06,721 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sll.h' 2024-01-19 02:41:06,721 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slli.h' 2024-01-19 02:41:06,721 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slliw.h' 2024-01-19 02:41:06,722 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sllw.h' 2024-01-19 02:41:06,722 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slt.h' 2024-01-19 02:41:06,722 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/slti.h' 2024-01-19 02:41:06,722 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sltiu.h' 2024-01-19 02:41:06,722 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sltu.h' 2024-01-19 02:41:06,723 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sra.h' 2024-01-19 02:41:06,723 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srai.h' 2024-01-19 02:41:06,723 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sraiw.h' 2024-01-19 02:41:06,724 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sraw.h' 2024-01-19 02:41:06,724 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sret.h' 2024-01-19 02:41:06,724 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srl.h' 2024-01-19 02:41:06,724 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srli.h' 2024-01-19 02:41:06,724 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srliw.h' 2024-01-19 02:41:06,725 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/srlw.h' 2024-01-19 02:41:06,725 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sub.h' 2024-01-19 02:41:06,725 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/subw.h' 2024-01-19 02:41:06,725 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/sw.h' 2024-01-19 02:41:06,725 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/wfi.h' 2024-01-19 02:41:06,725 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/xor.h' 2024-01-19 02:41:06,726 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/riscv/insns/xori.h' 2024-01-19 02:41:06,726 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/config.guess' 2024-01-19 02:41:06,727 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/config.sub' 2024-01-19 02:41:06,727 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/install.sh' 2024-01-19 02:41:06,727 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/mk-install-dirs.sh' 2024-01-19 02:41:06,727 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/scripts/vcs-version.sh' 2024-01-19 02:41:06,728 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_add.c' 2024-01-19 02:41:06,728 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_classify.c' 2024-01-19 02:41:06,728 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_div.c' 2024-01-19 02:41:06,729 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_eq.c' 2024-01-19 02:41:06,729 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_eq_signaling.c' 2024-01-19 02:41:06,729 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_isSignalingNaN.c' 2024-01-19 02:41:06,729 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_le.c' 2024-01-19 02:41:06,730 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_le_quiet.c' 2024-01-19 02:41:06,730 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_lt.c' 2024-01-19 02:41:06,730 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_lt_quiet.c' 2024-01-19 02:41:06,730 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_mul.c' 2024-01-19 02:41:06,730 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_mulAdd.c' 2024-01-19 02:41:06,731 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_rem.c' 2024-01-19 02:41:06,731 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_roundToInt.c' 2024-01-19 02:41:06,731 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_sqrt.c' 2024-01-19 02:41:06,731 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_sub.c' 2024-01-19 02:41:06,732 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f16.c' 2024-01-19 02:41:06,732 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f32.c' 2024-01-19 02:41:06,732 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_f64.c' 2024-01-19 02:41:06,732 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i32.c' 2024-01-19 02:41:06,733 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i32_r_minMag.c' 2024-01-19 02:41:06,733 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i64.c' 2024-01-19 02:41:06,733 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_i64_r_minMag.c' 2024-01-19 02:41:06,733 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui32.c' 2024-01-19 02:41:06,734 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui32_r_minMag.c' 2024-01-19 02:41:06,734 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui64.c' 2024-01-19 02:41:06,734 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f128_to_ui64_r_minMag.c' 2024-01-19 02:41:06,734 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_add.c' 2024-01-19 02:41:06,734 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_div.c' 2024-01-19 02:41:06,735 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_eq.c' 2024-01-19 02:41:06,735 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_eq_signaling.c' 2024-01-19 02:41:06,735 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_isSignalingNaN.c' 2024-01-19 02:41:06,735 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_le.c' 2024-01-19 02:41:06,736 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_le_quiet.c' 2024-01-19 02:41:06,736 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_lt.c' 2024-01-19 02:41:06,736 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_lt_quiet.c' 2024-01-19 02:41:06,736 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_mul.c' 2024-01-19 02:41:06,736 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_mulAdd.c' 2024-01-19 02:41:06,737 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_rem.c' 2024-01-19 02:41:06,737 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_roundToInt.c' 2024-01-19 02:41:06,737 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_sqrt.c' 2024-01-19 02:41:06,737 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_sub.c' 2024-01-19 02:41:06,738 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f128.c' 2024-01-19 02:41:06,738 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f32.c' 2024-01-19 02:41:06,738 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_f64.c' 2024-01-19 02:41:06,738 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i32.c' 2024-01-19 02:41:06,738 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i32_r_minMag.c' 2024-01-19 02:41:06,739 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i64.c' 2024-01-19 02:41:06,739 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_i64_r_minMag.c' 2024-01-19 02:41:06,739 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui32.c' 2024-01-19 02:41:06,739 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui32_r_minMag.c' 2024-01-19 02:41:06,740 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui64.c' 2024-01-19 02:41:06,740 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f16_to_ui64_r_minMag.c' 2024-01-19 02:41:06,740 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_add.c' 2024-01-19 02:41:06,740 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_classify.c' 2024-01-19 02:41:06,740 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_div.c' 2024-01-19 02:41:06,741 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_eq.c' 2024-01-19 02:41:06,741 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_eq_signaling.c' 2024-01-19 02:41:06,741 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_isSignalingNaN.c' 2024-01-19 02:41:06,741 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_le.c' 2024-01-19 02:41:06,742 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_le_quiet.c' 2024-01-19 02:41:06,742 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_lt.c' 2024-01-19 02:41:06,742 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_lt_quiet.c' 2024-01-19 02:41:06,742 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_mul.c' 2024-01-19 02:41:06,742 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_mulAdd.c' 2024-01-19 02:41:06,743 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_rem.c' 2024-01-19 02:41:06,743 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_roundToInt.c' 2024-01-19 02:41:06,743 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_sqrt.c' 2024-01-19 02:41:06,743 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_sub.c' 2024-01-19 02:41:06,743 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f128.c' 2024-01-19 02:41:06,744 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f16.c' 2024-01-19 02:41:06,744 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_f64.c' 2024-01-19 02:41:06,744 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i32.c' 2024-01-19 02:41:06,744 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i32_r_minMag.c' 2024-01-19 02:41:06,745 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i64.c' 2024-01-19 02:41:06,745 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_i64_r_minMag.c' 2024-01-19 02:41:06,745 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui32.c' 2024-01-19 02:41:06,745 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui32_r_minMag.c' 2024-01-19 02:41:06,746 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui64.c' 2024-01-19 02:41:06,746 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f32_to_ui64_r_minMag.c' 2024-01-19 02:41:06,746 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_add.c' 2024-01-19 02:41:06,746 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_classify.c' 2024-01-19 02:41:06,746 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_div.c' 2024-01-19 02:41:06,747 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_eq.c' 2024-01-19 02:41:06,747 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_eq_signaling.c' 2024-01-19 02:41:06,747 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_isSignalingNaN.c' 2024-01-19 02:41:06,747 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_le.c' 2024-01-19 02:41:06,748 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_le_quiet.c' 2024-01-19 02:41:06,748 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_lt.c' 2024-01-19 02:41:06,748 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_lt_quiet.c' 2024-01-19 02:41:06,748 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_mul.c' 2024-01-19 02:41:06,748 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_mulAdd.c' 2024-01-19 02:41:06,749 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_rem.c' 2024-01-19 02:41:06,749 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_roundToInt.c' 2024-01-19 02:41:06,749 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_sqrt.c' 2024-01-19 02:41:06,749 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_sub.c' 2024-01-19 02:41:06,750 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f128.c' 2024-01-19 02:41:06,750 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f16.c' 2024-01-19 02:41:06,750 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_f32.c' 2024-01-19 02:41:06,750 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i32.c' 2024-01-19 02:41:06,751 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i32_r_minMag.c' 2024-01-19 02:41:06,751 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i64.c' 2024-01-19 02:41:06,751 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_i64_r_minMag.c' 2024-01-19 02:41:06,751 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui32.c' 2024-01-19 02:41:06,751 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui32_r_minMag.c' 2024-01-19 02:41:06,752 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui64.c' 2024-01-19 02:41:06,752 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/f64_to_ui64_r_minMag.c' 2024-01-19 02:41:06,752 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f128.c' 2024-01-19 02:41:06,752 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f16.c' 2024-01-19 02:41:06,753 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f32.c' 2024-01-19 02:41:06,753 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i32_to_f64.c' 2024-01-19 02:41:06,753 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f128.c' 2024-01-19 02:41:06,753 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f16.c' 2024-01-19 02:41:06,753 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f32.c' 2024-01-19 02:41:06,754 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/i64_to_f64.c' 2024-01-19 02:41:06,754 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/internals.h' 2024-01-19 02:41:06,754 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/platform.h' 2024-01-19 02:41:06,754 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/primitiveTypes.h' 2024-01-19 02:41:06,755 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/primitives.h' 2024-01-19 02:41:06,755 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_add128.c' 2024-01-19 02:41:06,755 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_add256M.c' 2024-01-19 02:41:06,756 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addCarryM.c' 2024-01-19 02:41:06,756 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addComplCarryM.c' 2024-01-19 02:41:06,756 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addM.c' 2024-01-19 02:41:06,756 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF128.c' 2024-01-19 02:41:06,757 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF16.c' 2024-01-19 02:41:06,757 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF32.c' 2024-01-19 02:41:06,757 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_addMagsF64.c' 2024-01-19 02:41:06,757 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecip32_1.c' 2024-01-19 02:41:06,757 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecipSqrt32_1.c' 2024-01-19 02:41:06,758 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecipSqrt_1Ks.c' 2024-01-19 02:41:06,758 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_approxRecip_1Ks.c' 2024-01-19 02:41:06,758 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF128UI.c' 2024-01-19 02:41:06,758 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF16UI.c' 2024-01-19 02:41:06,759 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF32UI.c' 2024-01-19 02:41:06,759 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_commonNaNToF64UI.c' 2024-01-19 02:41:06,759 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_compare128M.c' 2024-01-19 02:41:06,759 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_compare96M.c' 2024-01-19 02:41:06,759 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros16.c' 2024-01-19 02:41:06,760 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros32.c' 2024-01-19 02:41:06,760 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros64.c' 2024-01-19 02:41:06,760 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_countLeadingZeros8.c' 2024-01-19 02:41:06,760 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_eq128.c' 2024-01-19 02:41:06,760 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f128UIToCommonNaN.c' 2024-01-19 02:41:06,761 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f16UIToCommonNaN.c' 2024-01-19 02:41:06,761 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f32UIToCommonNaN.c' 2024-01-19 02:41:06,761 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_f64UIToCommonNaN.c' 2024-01-19 02:41:06,761 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_le128.c' 2024-01-19 02:41:06,761 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_lt128.c' 2024-01-19 02:41:06,762 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128By32.c' 2024-01-19 02:41:06,762 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128MTo256M.c' 2024-01-19 02:41:06,762 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul128To256M.c' 2024-01-19 02:41:06,762 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64ByShifted32To128.c' 2024-01-19 02:41:06,763 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64To128.c' 2024-01-19 02:41:06,763 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mul64To128M.c' 2024-01-19 02:41:06,763 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF128.c' 2024-01-19 02:41:06,763 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF16.c' 2024-01-19 02:41:06,764 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF32.c' 2024-01-19 02:41:06,764 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_mulAddF64.c' 2024-01-19 02:41:06,764 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_negXM.c' 2024-01-19 02:41:06,764 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF128.c' 2024-01-19 02:41:06,765 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF16.c' 2024-01-19 02:41:06,765 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF32.c' 2024-01-19 02:41:06,765 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normRoundPackToF64.c' 2024-01-19 02:41:06,765 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF128Sig.c' 2024-01-19 02:41:06,765 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF16Sig.c' 2024-01-19 02:41:06,766 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF32Sig.c' 2024-01-19 02:41:06,766 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_normSubnormalF64Sig.c' 2024-01-19 02:41:06,766 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF128UI.c' 2024-01-19 02:41:06,766 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF16UI.c' 2024-01-19 02:41:06,767 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF32UI.c' 2024-01-19 02:41:06,767 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_propagateNaNF64UI.c' 2024-01-19 02:41:06,767 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_remStepMBy32.c' 2024-01-19 02:41:06,767 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundMToI64.c' 2024-01-19 02:41:06,767 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundMToUI64.c' 2024-01-19 02:41:06,768 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackMToI64.c' 2024-01-19 02:41:06,768 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackMToUI64.c' 2024-01-19 02:41:06,768 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF128.c' 2024-01-19 02:41:06,768 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF16.c' 2024-01-19 02:41:06,769 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF32.c' 2024-01-19 02:41:06,769 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToF64.c' 2024-01-19 02:41:06,769 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToI32.c' 2024-01-19 02:41:06,769 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToI64.c' 2024-01-19 02:41:06,770 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToUI32.c' 2024-01-19 02:41:06,770 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundPackToUI64.c' 2024-01-19 02:41:06,770 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToI32.c' 2024-01-19 02:41:06,770 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToI64.c' 2024-01-19 02:41:06,770 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToUI32.c' 2024-01-19 02:41:06,771 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_roundToUI64.c' 2024-01-19 02:41:06,771 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam128.c' 2024-01-19 02:41:06,771 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam128Extra.c' 2024-01-19 02:41:06,771 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam256M.c' 2024-01-19 02:41:06,772 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam32.c' 2024-01-19 02:41:06,772 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam64.c' 2024-01-19 02:41:06,772 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shiftRightJam64Extra.c' 2024-01-19 02:41:06,772 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftLeft128.c' 2024-01-19 02:41:06,772 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftLeft64To96M.c' 2024-01-19 02:41:06,773 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRight128.c' 2024-01-19 02:41:06,773 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightExtendM.c' 2024-01-19 02:41:06,773 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam128.c' 2024-01-19 02:41:06,773 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam128Extra.c' 2024-01-19 02:41:06,773 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam64.c' 2024-01-19 02:41:06,774 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightJam64Extra.c' 2024-01-19 02:41:06,774 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_shortShiftRightM.c' 2024-01-19 02:41:06,774 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub128.c' 2024-01-19 02:41:06,774 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub1XM.c' 2024-01-19 02:41:06,774 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_sub256M.c' 2024-01-19 02:41:06,775 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subM.c' 2024-01-19 02:41:06,775 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF128.c' 2024-01-19 02:41:06,775 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF16.c' 2024-01-19 02:41:06,775 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF32.c' 2024-01-19 02:41:06,776 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/s_subMagsF64.c' 2024-01-19 02:41:06,776 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.ac' 2024-01-19 02:41:06,776 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.h' 2024-01-19 02:41:06,776 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat.mk.in' 2024-01-19 02:41:06,777 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_raiseFlags.c' 2024-01-19 02:41:06,777 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_state.c' 2024-01-19 02:41:06,777 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/softfloat_types.h' 2024-01-19 02:41:06,777 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/specialize.h' 2024-01-19 02:41:06,778 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f128.c' 2024-01-19 02:41:06,778 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f16.c' 2024-01-19 02:41:06,778 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f32.c' 2024-01-19 02:41:06,778 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui32_to_f64.c' 2024-01-19 02:41:06,779 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f128.c' 2024-01-19 02:41:06,779 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f16.c' 2024-01-19 02:41:06,779 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f32.c' 2024-01-19 02:41:06,779 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/softfloat/ui64_to_f64.c' 2024-01-19 02:41:06,780 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/disasm.cc' 2024-01-19 02:41:06,780 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike-dasm.cc' 2024-01-19 02:41:06,780 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike.cc' 2024-01-19 02:41:06,780 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike_main.ac' 2024-01-19 02:41:06,781 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/spike_main.mk.in' 2024-01-19 02:41:06,781 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/termios-xspike.cc' 2024-01-19 02:41:06,781 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/spike_main/xspike.cc' 2024-01-19 02:41:06,781 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/ebreak.py' 2024-01-19 02:41:06,781 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/ebreak.s' 2024-01-19 02:41:06,782 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/testlib.py' 2024-01-19 02:41:06,782 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/.gitignore' 2024-01-19 02:41:06,782 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/Makefile' 2024-01-19 02:41:06,782 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/tb.list' 2024-01-19 02:41:06,782 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/wave.do' 2024-01-19 02:41:06,783 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/mem_emul.sv' 2024-01-19 02:41:06,783 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tb.sv' 2024-01-19 02:41:06,783 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tb_pkg.sv' 2024-01-19 02:41:06,784 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_cva6_icache/hdl/tlb_emul.sv' 2024-01-19 02:41:06,784 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/.gitignore' 2024-01-19 02:41:06,784 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/Makefile' 2024-01-19 02:41:06,784 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/tb.list' 2024-01-19 02:41:06,784 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb.sv' 2024-01-19 02:41:06,785 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_div.sv' 2024-01-19 02:41:06,785 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_pkg.sv' 2024-01-19 02:41:06,785 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_rem.sv' 2024-01-19 02:41:06,785 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_udiv.sv' 2024-01-19 02:41:06,786 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_serdiv/hdl/tb_urem.sv' 2024-01-19 02:41:06,786 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/.gitignore' 2024-01-19 02:41:06,786 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/Makefile' 2024-01-19 02:41:06,786 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/tb.list' 2024-01-19 02:41:06,787 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/wave.do' 2024-01-19 02:41:06,787 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wb_dcache/hdl/tb.sv' 2024-01-19 02:41:06,787 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/.gitignore' 2024-01-19 02:41:06,788 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/Makefile' 2024-01-19 02:41:06,788 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/tb.list' 2024-01-19 02:41:06,788 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/wave.do' 2024-01-19 02:41:06,789 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_axi_dcache/hdl/tb.sv' 2024-01-19 02:41:06,789 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/.gitignore' 2024-01-19 02:41:06,789 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/Makefile' 2024-01-19 02:41:06,789 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/tb.list' 2024-01-19 02:41:06,790 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/wave.do' 2024-01-19 02:41:06,790 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl/tb.sv' 2024-01-19 02:41:06,790 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/tb_wt_dcache/hdl/tb_mem.sv' 2024-01-19 02:41:06,791 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/corev_apu/tb/wave/wave_core.do' 2024-01-19 02:41:06,791 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/.gitignore' 2024-01-19 02:41:06,791 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/Makefile' 2024-01-19 02:41:06,791 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/commit_stage.rst' 2024-01-19 02:41:06,792 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/conf.py' 2024-01-19 02:41:06,792 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/cva6_soc.rst' 2024-01-19 02:41:06,792 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/ex_stage.md' 2024-01-19 02:41:06,792 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/id_stage.md' 2024-01-19 02:41:06,793 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/if_stage.md' 2024-01-19 02:41:06,793 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/index.rst' 2024-01-19 02:41:06,793 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/intro.rst' 2024-01-19 02:41:06,793 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/issue_stage.md' 2024-01-19 02:41:06,794 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/make.bat' 2024-01-19 02:41:06,794 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/pcgen_stage.md' 2024-01-19 02:41:06,794 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/requirements.txt' 2024-01-19 02:41:06,796 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/ariane_overview.pdf' 2024-01-19 02:41:06,801 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/ariane_overview.png' 2024-01-19 02:41:06,803 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/bg.jpg' 2024-01-19 02:41:06,808 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/branch_prediction.pdf' 2024-01-19 02:41:06,811 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/branch_prediction.png' 2024-01-19 02:41:06,812 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/fpga_bd.pdf' 2024-01-19 02:41:06,814 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/fpga_bd.png' 2024-01-19 02:41:06,816 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/instr_realign.pdf' 2024-01-19 02:41:06,820 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/instr_realign.png' 2024-01-19 02:41:06,822 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/jekyll-dark.png' 2024-01-19 02:41:06,822 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/jekyll.png' 2024-01-19 02:41:06,822 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/ld_pipeline_diagram.svg' 2024-01-19 02:41:06,823 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/logonav.png' 2024-01-19 02:41:06,825 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/lsu_blockdiagram.pdf' 2024-01-19 02:41:06,829 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/lsu_blockdiagram.png' 2024-01-19 02:41:06,831 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/mmu_blockdiagramm.pdf' 2024-01-19 02:41:06,835 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/mmu_blockdiagramm.png' 2024-01-19 02:41:06,837 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/openhw-landscape.svg' 2024-01-19 02:41:06,839 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/scoreboard.pdf' 2024-01-19 02:41:06,843 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/scoreboard.png' 2024-01-19 02:41:06,849 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/uvm_fu_tb.ai' 2024-01-19 02:41:06,856 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/_static/uvm_fu_tb.png' 2024-01-19 02:41:06,856 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/.gitignore' 2024-01-19 02:41:06,857 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/Makefile' 2024-01-19 02:41:06,857 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/make.bat' 2024-01-19 02:41:06,857 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/requirements.txt' 2024-01-19 02:41:06,857 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/images/CVA6_subsystems.png' 2024-01-19 02:41:06,858 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/images/ariane_overview.png' 2024-01-19 02:41:06,859 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/images/bht.png' 2024-01-19 02:41:06,860 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/images/frontend_modules.png' 2024-01-19 02:41:06,860 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/images/jade_design_automation_logo.png' 2024-01-19 02:41:06,861 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/images/openhw-landscape.svg' 2024-01-19 02:41:06,861 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/images/subsystems.png' 2024-01-19 02:41:06,862 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/CV32A6_CSR.rst' 2024-01-19 02:41:06,863 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/CV32A6_CSR.xml' 2024-01-19 02:41:06,864 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/conf.py' 2024-01-19 02:41:06,864 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_frontend.rst' 2024-01-19 02:41:06,865 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_glossary.rst' 2024-01-19 02:41:06,865 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_intro.rst' 2024-01-19 02:41:06,865 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/cva6_system.rst' 2024-01-19 02:41:06,865 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/design_spec/source/index.rst' 2024-01-19 02:41:06,866 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/specifications/cva6_requirement_specification.rst' 2024-01-19 02:41:06,866 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/specifications/images/cva6_scope.png' 2024-01-19 02:41:06,867 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/docs/user_guide/cva6_ug_csr.adoc' 2024-01-19 02:41:06,867 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/.gitignore' 2024-01-19 02:41:06,867 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/Makefile' 2024-01-19 02:41:06,868 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/cva6_read.tcl' 2024-01-19 02:41:06,868 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/cva6_synth.tcl' 2024-01-19 02:41:06,868 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/tc_sram_wrapper_256_64_00000008_00000001_00000001_none_0.sv' 2024-01-19 02:41:06,868 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/scripts/dc_setup.tcl' 2024-01-19 02:41:06,869 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/scripts/dc_setup_filenames.tcl' 2024-01-19 02:41:06,869 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/pd/synth/scripts/gate_analysis.py' 2024-01-19 02:41:06,869 wheel INFO adding 'pythondata_cpu_cva6/system_verilog/scripts/parse_ila_trace.py' 2024-01-19 02:41:06,869 wheel INFO adding 'pythondata_cpu_cva6-4.2.0.post435.dist-info/LICENSE' 2024-01-19 02:41:06,870 wheel INFO adding 'pythondata_cpu_cva6-4.2.0.post435.dist-info/METADATA' 2024-01-19 02:41:06,870 wheel INFO adding 'pythondata_cpu_cva6-4.2.0.post435.dist-info/WHEEL' 2024-01-19 02:41:06,870 wheel INFO adding 'pythondata_cpu_cva6-4.2.0.post435.dist-info/top_level.txt' 2024-01-19 02:41:06,874 wheel INFO adding 'pythondata_cpu_cva6-4.2.0.post435.dist-info/RECORD' 2024-01-19 02:41:06,878 wheel INFO removing build/bdist.linux-x86_64/wheel 2024-01-19 02:41:06,903 gpep517 INFO The backend produced dist/pythondata_cpu_cva6-4.2.0.post435-py3-none-any.whl pythondata_cpu_cva6-4.2.0.post435-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-ibex 2024-01-19 02:41:07,113 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 02:41:07,156 root INFO running bdist_wheel 2024-01-19 02:41:07,172 root INFO running build 2024-01-19 02:41:07,172 root INFO running build_py 2024-01-19 02:41:07,175 root INFO creating build 2024-01-19 02:41:07,175 root INFO creating build/lib 2024-01-19 02:41:07,175 root INFO creating build/lib/pythondata_cpu_ibex 2024-01-19 02:41:07,175 root INFO copying pythondata_cpu_ibex/__init__.py -> build/lib/pythondata_cpu_ibex 2024-01-19 02:41:07,176 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,176 root INFO copying pythondata_cpu_ibex/system_verilog/tool_requirements.py -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,177 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/util 2024-01-19 02:41:07,177 root INFO copying pythondata_cpu_ibex/system_verilog/util/sv2v_in_place.py -> build/lib/pythondata_cpu_ibex/system_verilog/util 2024-01-19 02:41:07,177 root INFO copying pythondata_cpu_ibex/system_verilog/util/check_tool_requirements.py -> build/lib/pythondata_cpu_ibex/system_verilog/util 2024-01-19 02:41:07,177 root INFO copying pythondata_cpu_ibex/system_verilog/util/ibex_config.py -> build/lib/pythondata_cpu_ibex/system_verilog/util 2024-01-19 02:41:07,178 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 02:41:07,178 root INFO copying pythondata_cpu_ibex/system_verilog/doc/conf.py -> build/lib/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 02:41:07,178 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 02:41:07,178 root INFO copying pythondata_cpu_ibex/system_verilog/ci/vars_to_logging_cmd.py -> build/lib/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 02:41:07,180 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 02:41:07,180 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/syn/python 2024-01-19 02:41:07,180 root INFO copying pythondata_cpu_ibex/system_verilog/syn/python/translate_timing_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/syn/python 2024-01-19 02:41:07,180 root INFO copying pythondata_cpu_ibex/system_verilog/syn/python/flow_utils.py -> build/lib/pythondata_cpu_ibex/system_verilog/syn/python 2024-01-19 02:41:07,180 root INFO copying pythondata_cpu_ibex/system_verilog/syn/python/build_translated_names.py -> build/lib/pythondata_cpu_ibex/system_verilog/syn/python 2024-01-19 02:41:07,181 root INFO copying pythondata_cpu_ibex/system_verilog/syn/python/get_kge.py -> build/lib/pythondata_cpu_ibex/system_verilog/syn/python 2024-01-19 02:41:07,182 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 02:41:07,182 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,182 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/run.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,183 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/setup.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,183 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/cov.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,185 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,185 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/instr_trace_compare.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,186 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/riscv_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,186 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/lib.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,186 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/sail_log_to_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,187 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/gen_csr_test.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,187 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/ovpsim_log_to_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,187 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/metrics-regress.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,187 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/genMetricsList.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,188 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/spike_log_to_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,188 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/whisper_log_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,189 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen 2024-01-19 02:41:07,189 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,189 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_data_page_gen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,189 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_defines.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,190 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_cover_group.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,190 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_stream.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,190 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_asm_program_gen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,191 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_gen_config.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,191 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_privil_reg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,191 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_directed_instr_lib.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,191 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_privileged_common_seq.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,192 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_signature_pkg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,192 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_pseudo_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,192 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_utils.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,192 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_reg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,193 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_amo_instr_lib.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,193 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_load_store_instr_lib.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,193 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_illegal_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,194 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_sequence.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,194 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_pkg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,194 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,195 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_data_page_gen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,195 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/utils.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,195 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_stream.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,195 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_base.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,195 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_asm_program_gen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,196 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_directed_instr_lib.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,196 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_callstack_gen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,196 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_rand_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,196 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_load_store_instr_lib.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,197 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_sequence.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,197 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-01-19 02:41:07,197 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_instr_cov_test.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-01-19 02:41:07,197 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_instr_base_test.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-01-19 02:41:07,198 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_rand_instr_test.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-01-19 02:41:07,198 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,198 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32dc_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,198 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32f_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,199 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_compressed_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,199 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_amo_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,199 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32c_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,199 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_b_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,200 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32a_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,200 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_cov_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,200 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,200 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32d_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,201 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32m_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,201 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32i_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,201 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32b_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,201 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32fc_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,201 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_floating_point_instr.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,202 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target 2024-01-19 02:41:07,202 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts 2024-01-19 02:41:07,202 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/riscv_core_setting.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts 2024-01-19 02:41:07,202 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc 2024-01-19 02:41:07,203 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/riscv_core_setting.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc 2024-01-19 02:41:07,203 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb 2024-01-19 02:41:07,203 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/riscv_core_setting.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb 2024-01-19 02:41:07,203 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc 2024-01-19 02:41:07,204 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/riscv_core_setting.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc 2024-01-19 02:41:07,204 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i 2024-01-19 02:41:07,204 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/riscv_core_setting.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i 2024-01-19 02:41:07,204 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs 2024-01-19 02:41:07,204 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,205 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/conf.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,205 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-01-19 02:41:07,205 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/instr_trace_compare.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-01-19 02:41:07,205 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/riscv_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-01-19 02:41:07,206 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/ovpsim_log_to_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-01-19 02:41:07,206 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/spike_log_to_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-01-19 02:41:07,208 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip 2024-01-19 02:41:07,208 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint 2024-01-19 02:41:07,208 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools 2024-01-19 02:41:07,208 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-01-19 02:41:07,208 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/parse-lint-report.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-01-19 02:41:07,208 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint 2024-01-19 02:41:07,209 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/parse-lint-report.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint 2024-01-19 02:41:07,209 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-01-19 02:41:07,209 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/parse-lint-report.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-01-19 02:41:07,209 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util 2024-01-19 02:41:07,210 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,210 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/gen_agent.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,210 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/uvmdvgen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,210 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/__init__.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,210 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/gen_env.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,211 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,211 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LintCfg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,211 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/testplanner.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,212 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/CfgJson.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,212 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/utils.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,212 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Testplan.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,212 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/sim_utils.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,213 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/FormalCfg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,213 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SimResults.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,213 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SimCfg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,213 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/dvsim.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,214 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LocalLauncher.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,214 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/__init__.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,214 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Scheduler.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,214 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Timer.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,215 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/CfgFactory.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,215 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/FlowCfg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,215 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SynCfg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,215 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/StatusPrinter.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,215 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LsfLauncher.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,216 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/OneShotCfg.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,216 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LauncherFactory.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,216 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Deploy.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,216 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Modes.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,217 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/utils_test.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,217 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Launcher.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,218 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip 2024-01-19 02:41:07,218 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,218 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util 2024-01-19 02:41:07,219 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util 2024-01-19 02:41:07,220 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor 2024-01-19 02:41:07,220 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 02:41:07,220 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/verible_verilog_syntax.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 02:41:07,220 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/print_modules.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 02:41:07,221 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/print_tree.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 02:41:07,221 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/verible_verilog_syntax_test.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 02:41:07,224 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv 2024-01-19 02:41:07,224 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-01-19 02:41:07,224 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-01-19 02:41:07,224 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/ralgen.py -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-01-19 02:41:07,229 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv 2024-01-19 02:41:07,229 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm 2024-01-19 02:41:07,229 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,229 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/run_rtl.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,230 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/list_tests.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,230 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,230 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/test_run_result.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,230 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim_makefrag_gen.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,231 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/compare.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,231 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/test_entry.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,231 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim_cmd.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,231 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/collect_results.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,232 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 02:41:07,232 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ibex_log_to_trace_csv.py -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 02:41:07,235 root INFO running egg_info 2024-01-19 02:41:07,235 root INFO creating pythondata_cpu_ibex.egg-info 2024-01-19 02:41:07,237 root INFO writing pythondata_cpu_ibex.egg-info/PKG-INFO 2024-01-19 02:41:07,237 root INFO writing dependency_links to pythondata_cpu_ibex.egg-info/dependency_links.txt 2024-01-19 02:41:07,238 root INFO writing top-level names to pythondata_cpu_ibex.egg-info/top_level.txt 2024-01-19 02:41:07,238 root INFO writing manifest file 'pythondata_cpu_ibex.egg-info/SOURCES.txt' 2024-01-19 02:41:07,275 root INFO reading manifest file 'pythondata_cpu_ibex.egg-info/SOURCES.txt' 2024-01-19 02:41:07,275 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 02:41:07,298 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 02:41:07,298 root INFO adding license file 'LICENSE' 2024-01-19 02:41:07,315 root INFO writing manifest file 'pythondata_cpu_ibex.egg-info/SOURCES.txt' 2024-01-19 02:41:07,364 root INFO copying pythondata_cpu_ibex/system_verilog/.clang-format -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,365 root INFO copying pythondata_cpu_ibex/system_verilog/.gitignore -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,365 root INFO copying pythondata_cpu_ibex/system_verilog/.svlint.toml -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,365 root INFO copying pythondata_cpu_ibex/system_verilog/CONTRIBUTING.md -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,365 root INFO copying pythondata_cpu_ibex/system_verilog/CREDITS.md -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,365 root INFO copying pythondata_cpu_ibex/system_verilog/LICENSE -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,366 root INFO copying pythondata_cpu_ibex/system_verilog/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,366 root INFO copying pythondata_cpu_ibex/system_verilog/README.md -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,366 root INFO copying pythondata_cpu_ibex/system_verilog/azure-pipelines.yml -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,366 root INFO copying pythondata_cpu_ibex/system_verilog/check_tool_requirements.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,367 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_configs.yaml -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,367 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_core.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,367 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_icache.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,367 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_multdiv.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,368 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,368 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_top.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,368 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_top_tracing.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,368 root INFO copying pythondata_cpu_ibex/system_verilog/ibex_tracer.core -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,368 root INFO copying pythondata_cpu_ibex/system_verilog/python-requirements.txt -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,369 root INFO copying pythondata_cpu_ibex/system_verilog/src_files.yml -> build/lib/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,369 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/.github 2024-01-19 02:41:07,369 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:07,369 root INFO copying pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE/bug.md -> build/lib/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:07,369 root INFO copying pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE/question.md -> build/lib/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:07,369 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/.github/workflows 2024-01-19 02:41:07,370 root INFO copying pythondata_cpu_ibex/system_verilog/.github/workflows/pr_lint_review.yml -> build/lib/pythondata_cpu_ibex/system_verilog/.github/workflows 2024-01-19 02:41:07,370 root INFO copying pythondata_cpu_ibex/system_verilog/.github/workflows/pr_trigger.yml -> build/lib/pythondata_cpu_ibex/system_verilog/.github/workflows 2024-01-19 02:41:07,370 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/lint 2024-01-19 02:41:07,370 root INFO copying pythondata_cpu_ibex/system_verilog/lint/verible_waiver.vbw -> build/lib/pythondata_cpu_ibex/system_verilog/lint 2024-01-19 02:41:07,370 root INFO copying pythondata_cpu_ibex/system_verilog/lint/verilator_waiver.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/lint 2024-01-19 02:41:07,371 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/formal 2024-01-19 02:41:07,371 root INFO copying pythondata_cpu_ibex/system_verilog/formal/.gitignore -> build/lib/pythondata_cpu_ibex/system_verilog/formal 2024-01-19 02:41:07,371 root INFO copying pythondata_cpu_ibex/system_verilog/syn/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 02:41:07,371 root INFO copying pythondata_cpu_ibex/system_verilog/syn/ibex_top.nangate.sdc -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 02:41:07,371 root INFO copying pythondata_cpu_ibex/system_verilog/syn/ibex_top_abc.nangate.sdc -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 02:41:07,372 root INFO copying pythondata_cpu_ibex/system_verilog/syn/ibex_top_lr_synth_conf.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 02:41:07,372 root INFO copying pythondata_cpu_ibex/system_verilog/syn/lec_sv2v.do -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 02:41:07,372 root INFO copying pythondata_cpu_ibex/system_verilog/syn/lec_sv2v.sh -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 02:41:07,372 root INFO copying pythondata_cpu_ibex/system_verilog/syn/syn_setup.example.sh -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 02:41:07,372 root INFO copying pythondata_cpu_ibex/system_verilog/syn/syn_yosys.sh -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 02:41:07,373 root INFO copying pythondata_cpu_ibex/system_verilog/syn/translate_timing_rpts.sh -> build/lib/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 02:41:07,373 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/shared 2024-01-19 02:41:07,373 root INFO copying pythondata_cpu_ibex/system_verilog/shared/fpga_xilinx.core -> build/lib/pythondata_cpu_ibex/system_verilog/shared 2024-01-19 02:41:07,373 root INFO copying pythondata_cpu_ibex/system_verilog/shared/sim_shared.core -> build/lib/pythondata_cpu_ibex/system_verilog/shared 2024-01-19 02:41:07,373 root INFO copying pythondata_cpu_ibex/system_verilog/util/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/util 2024-01-19 02:41:07,374 root INFO copying pythondata_cpu_ibex/system_verilog/util/ibex_util_sv2v.core -> build/lib/pythondata_cpu_ibex/system_verilog/util 2024-01-19 02:41:07,374 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,374 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_alu.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,374 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_branch_predict.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,375 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_compressed_decoder.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,375 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_controller.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,375 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_core.f -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,375 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_core.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,376 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_counter.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,376 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_cs_registers.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,376 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_csr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,376 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_decoder.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,377 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_dummy_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,377 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_ex_block.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,377 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_fetch_fifo.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,377 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_icache.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,378 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_id_stage.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,378 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_if_stage.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,378 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_load_store_unit.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,378 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_lockstep.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,379 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_multdiv_fast.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,379 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_multdiv_slow.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,379 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,379 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_pmp.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,380 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_prefetch_buffer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,380 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_ff.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,380 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_fpga.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,380 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_latch.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,380 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_top.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,381 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_top_tracing.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,381 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_tracer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,381 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_tracer_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,381 root INFO copying pythondata_cpu_ibex/system_verilog/rtl/ibex_wb_stage.sv -> build/lib/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,382 root INFO copying pythondata_cpu_ibex/system_verilog/doc/.gitignore -> build/lib/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 02:41:07,382 root INFO copying pythondata_cpu_ibex/system_verilog/doc/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 02:41:07,382 root INFO copying pythondata_cpu_ibex/system_verilog/doc/index.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 02:41:07,382 root INFO copying pythondata_cpu_ibex/system_verilog/doc/make.bat -> build/lib/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 02:41:07,383 root INFO copying pythondata_cpu_ibex/system_verilog/doc/requirements.txt -> build/lib/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 02:41:07,383 root INFO copying pythondata_cpu_ibex/system_verilog/ci/azp-private.yml -> build/lib/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 02:41:07,383 root INFO copying pythondata_cpu_ibex/system_verilog/ci/ibex-rtl-ci-steps.yml -> build/lib/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 02:41:07,383 root INFO copying pythondata_cpu_ibex/system_verilog/ci/install-build-deps.sh -> build/lib/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 02:41:07,383 root INFO copying pythondata_cpu_ibex/system_verilog/ci/vars.yml -> build/lib/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 02:41:07,384 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark.lock.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 02:41:07,384 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv.lock.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 02:41:07,384 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv.vendor.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 02:41:07,384 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip.lock.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 02:41:07,384 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip.vendor.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 02:41:07,385 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,385 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,385 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_div.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,385 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_mulh.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,385 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_mull.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,386 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_rem.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,386 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_div.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,386 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_mulh.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,386 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_mull.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,387 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_rem.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,387 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_div.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,387 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_mulh.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,387 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_mull.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,387 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_rem.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,388 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/formal_tb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,388 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/formal_tb_frag.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,388 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/ibex_data_ind_timing.core -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,388 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_div.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,388 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_mulh.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,389 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_mull.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,389 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_rem.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,389 root INFO copying pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/run.sby.j2 -> build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,389 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 02:41:07,389 root INFO copying pythondata_cpu_ibex/system_verilog/formal/icache/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 02:41:07,390 root INFO copying pythondata_cpu_ibex/system_verilog/formal/icache/formal_tb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 02:41:07,390 root INFO copying pythondata_cpu_ibex/system_verilog/formal/icache/formal_tb_frag.svh -> build/lib/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 02:41:07,390 root INFO copying pythondata_cpu_ibex/system_verilog/formal/icache/ibex_icache_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 02:41:07,390 root INFO copying pythondata_cpu_ibex/system_verilog/formal/icache/run.sby.j2 -> build/lib/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 02:41:07,391 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/formal/riscv-formal 2024-01-19 02:41:07,391 root INFO copying pythondata_cpu_ibex/system_verilog/formal/riscv-formal/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/formal/riscv-formal 2024-01-19 02:41:07,391 root INFO copying pythondata_cpu_ibex/system_verilog/formal/riscv-formal/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/formal/riscv-formal 2024-01-19 02:41:07,391 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 02:41:07,391 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/flow_utils.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 02:41:07,392 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/lr_synth_flow_var_setup.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 02:41:07,392 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/sta_common.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 02:41:07,392 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/sta_open_design.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 02:41:07,392 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/sta_run_reports.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 02:41:07,392 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/sta_utils.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 02:41:07,393 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_common.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 02:41:07,393 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_post_synth.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 02:41:07,393 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_pre_map.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 02:41:07,393 root INFO copying pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_run_synth.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 02:41:07,393 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/syn/rtl 2024-01-19 02:41:07,394 root INFO copying pythondata_cpu_ibex/system_verilog/syn/rtl/latch_map.v -> build/lib/pythondata_cpu_ibex/system_verilog/syn/rtl 2024-01-19 02:41:07,394 root INFO copying pythondata_cpu_ibex/system_verilog/syn/rtl/prim_clock_gating.v -> build/lib/pythondata_cpu_ibex/system_verilog/syn/rtl 2024-01-19 02:41:07,394 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-01-19 02:41:07,394 root INFO copying pythondata_cpu_ibex/system_verilog/shared/rtl/bus.sv -> build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-01-19 02:41:07,394 root INFO copying pythondata_cpu_ibex/system_verilog/shared/rtl/ram_1p.sv -> build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-01-19 02:41:07,395 root INFO copying pythondata_cpu_ibex/system_verilog/shared/rtl/ram_2p.sv -> build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-01-19 02:41:07,395 root INFO copying pythondata_cpu_ibex/system_verilog/shared/rtl/timer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-01-19 02:41:07,395 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/sim 2024-01-19 02:41:07,395 root INFO copying pythondata_cpu_ibex/system_verilog/shared/rtl/sim/simulator_ctrl.sv -> build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/sim 2024-01-19 02:41:07,395 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/fpga 2024-01-19 02:41:07,395 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/fpga/xilinx 2024-01-19 02:41:07,396 root INFO copying pythondata_cpu_ibex/system_verilog/shared/rtl/fpga/xilinx/clkgen_xil7series.sv -> build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/fpga/xilinx 2024-01-19 02:41:07,396 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-01-19 02:41:07,396 root INFO copying pythondata_cpu_ibex/system_verilog/doc/01_overview/compliance.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-01-19 02:41:07,396 root INFO copying pythondata_cpu_ibex/system_verilog/doc/01_overview/index.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-01-19 02:41:07,396 root INFO copying pythondata_cpu_ibex/system_verilog/doc/01_overview/licensing.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-01-19 02:41:07,397 root INFO copying pythondata_cpu_ibex/system_verilog/doc/01_overview/targets.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-01-19 02:41:07,397 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/doc/04_developer 2024-01-19 02:41:07,397 root INFO copying pythondata_cpu_ibex/system_verilog/doc/04_developer/concierge.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/04_developer 2024-01-19 02:41:07,397 root INFO copying pythondata_cpu_ibex/system_verilog/doc/04_developer/index.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/04_developer 2024-01-19 02:41:07,397 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,398 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/cosim.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,398 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/cs_registers.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,398 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/debug.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,398 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/exception_interrupts.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,398 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/history.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,399 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/icache.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,399 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/index.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,399 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/instruction_decode_execute.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,399 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/instruction_fetch.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,400 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/load_store_unit.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,400 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/performance_counters.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,400 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/pipeline_details.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,400 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/pmp.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,401 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/register_file.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,401 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/rvfi.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,401 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/security.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,401 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/tracer.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,401 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/verification.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,402 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/doc/_static 2024-01-19 02:41:07,402 root INFO copying pythondata_cpu_ibex/system_verilog/doc/_static/theme_overrides.css -> build/lib/pythondata_cpu_ibex/system_verilog/doc/_static 2024-01-19 02:41:07,402 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 02:41:07,402 root INFO copying pythondata_cpu_ibex/system_verilog/doc/02_user/examples.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 02:41:07,402 root INFO copying pythondata_cpu_ibex/system_verilog/doc/02_user/getting_started.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 02:41:07,403 root INFO copying pythondata_cpu_ibex/system_verilog/doc/02_user/index.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 02:41:07,403 root INFO copying pythondata_cpu_ibex/system_verilog/doc/02_user/integration.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 02:41:07,403 root INFO copying pythondata_cpu_ibex/system_verilog/doc/02_user/system_requirements.rst -> build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 02:41:07,403 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 02:41:07,403 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/blockdiagram.svg -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 02:41:07,404 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/de_ex_stage.svg -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 02:41:07,404 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/dv-flow.png -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 02:41:07,404 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/icache_block.svg -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 02:41:07,405 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/icache_mux.svg -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 02:41:07,405 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/if_stage.svg -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 02:41:07,405 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/logo.svg -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 02:41:07,405 root INFO copying pythondata_cpu_ibex/system_verilog/doc/03_reference/images/tb.svg -> build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 02:41:07,407 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 02:41:07,407 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/LICENSE.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 02:41:07,407 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 02:41:07,408 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 02:41:07,408 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_list_join.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 02:41:07,408 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_main.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 02:41:07,409 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_matrix.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 02:41:07,409 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_state.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 02:41:07,409 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_util.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 02:41:07,409 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/coremark.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 02:41:07,410 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/coremark.md5 -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 02:41:07,410 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.flake8 -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,410 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.gitignore -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,410 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.metrics.json -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,411 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.travis.yml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,411 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/CONTRIBUTING.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,411 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/LICENSE.txt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,411 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/MANIFEST.in -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,411 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,412 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/files.f -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,412 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/qrun_option.f -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,412 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/questa_sim.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,412 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/requirements.txt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,413 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/riviera_sim.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,413 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/setup.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,413 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/vcs.compile.option.f -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,413 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github 2024-01-19 02:41:07,413 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github/workflows 2024-01-19 02:41:07,413 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github/workflows/metrics-regress.yml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github/workflows 2024-01-19 02:41:07,414 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-01-19 02:41:07,414 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-01-19 02:41:07,414 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-01-19 02:41:07,414 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.mak -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-01-19 02:41:07,414 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-01-19 02:41:07,415 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-01-19 02:41:07,415 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-01-19 02:41:07,415 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.mak -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-01-19 02:41:07,415 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-01-19 02:41:07,415 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-01-19 02:41:07,416 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-01-19 02:41:07,416 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.mak -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-01-19 02:41:07,416 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-01-19 02:41:07,416 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-01-19 02:41:07,417 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-01-19 02:41:07,417 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.mak -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-01-19 02:41:07,417 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-01-19 02:41:07,417 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-01-19 02:41:07,417 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-01-19 02:41:07,418 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.mak -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-01-19 02:41:07,418 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 02:41:07,418 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 02:41:07,418 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 02:41:07,418 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.mak -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 02:41:07,419 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/cvt.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 02:41:07,419 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/ee_printf.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 02:41:07,419 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-01-19 02:41:07,419 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/READM.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-01-19 02:41:07,419 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/balance_O0_joined.png -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-01-19 02:41:07,420 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/coremark_profile_o0_joined.png -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-01-19 02:41:07,420 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html 2024-01-19 02:41:07,420 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html 2024-01-19 02:41:07,421 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 02:41:07,421 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/BuildTargets.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 02:41:07,421 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Configuration.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 02:41:07,421 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Configurations.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 02:41:07,421 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Files.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 02:41:07,422 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Functions.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 02:41:07,422 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/General.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 02:41:07,422 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/General2.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 02:41:07,423 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Types.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 02:41:07,423 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Variables.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 02:41:07,423 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,423 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/BuildTargetsP.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,423 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationC.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,424 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationH.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,424 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationM.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,424 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationS.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,424 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationT.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,424 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationU.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,425 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsH.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,425 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsM.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,425 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsS.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,425 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsT.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,425 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FilesC.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,426 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FilesR.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,426 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsC.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,426 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsG.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,426 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsI.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,427 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsM.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,427 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsP.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,427 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsS.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,427 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsT.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,427 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralB.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,428 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralC.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,428 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralD.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,428 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralF.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,428 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralG.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,429 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralH.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,429 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralI.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,429 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralL.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,429 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralM.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,429 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralO.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,430 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralP.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,430 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralR.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,430 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralS.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,430 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralT.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,431 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralU.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,431 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralV.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,431 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralW.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,431 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/NoResults.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,431 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/TypesS.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,432 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesC.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,432 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesD.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,432 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesL.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,432 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesO.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,432 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesP.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,433 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesR.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,433 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesS.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,433 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 02:41:07,433 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_list_join-c.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 02:41:07,434 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_main-c.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 02:41:07,434 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_matrix-c.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 02:41:07,434 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_state-c.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 02:41:07,434 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_util-c.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 02:41:07,435 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/coremark-h.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 02:41:07,435 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/readme-txt.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 02:41:07,435 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/release_notes-txt.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 02:41:07,435 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript 2024-01-19 02:41:07,435 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript/main.js -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript 2024-01-19 02:41:07,436 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript/searchdata.js -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript 2024-01-19 02:41:07,436 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-01-19 02:41:07,436 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/1.css -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-01-19 02:41:07,436 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/2.css -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-01-19 02:41:07,437 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/main.css -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-01-19 02:41:07,437 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-01-19 02:41:07,437 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-c.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-01-19 02:41:07,437 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-h.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-01-19 02:41:07,437 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-mak.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-01-19 02:41:07,438 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/docs 2024-01-19 02:41:07,438 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/docs/core_state.png -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/docs 2024-01-19 02:41:07,438 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/PIC32 2024-01-19 02:41:07,438 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/PIC32/core_portme-mak.html -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/PIC32 2024-01-19 02:41:07,438 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 02:41:07,439 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/base_testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 02:41:07,439 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/cov_testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 02:41:07,439 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/csr_template.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 02:41:07,439 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/iss.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 02:41:07,440 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/simulator.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 02:41:07,440 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 02:41:07,440 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_base_test.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 02:41:07,440 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_cov_test.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 02:41:07,440 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_gen_tb_top.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 02:41:07,441 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 02:41:07,441 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 02:41:07,441 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 02:41:07,441 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-01-19 02:41:07,441 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/build-verible.sh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-01-19 02:41:07,442 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/exclude_filelist.f -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-01-19 02:41:07,442 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/run.sh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-01-19 02:41:07,442 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,442 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/dv_defines.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,442 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_amo_instr_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,443 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_asm_program_gen.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,443 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_callstack_gen.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,443 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_data_page_gen.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,443 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_debug_rom_gen.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,444 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_defines.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,444 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_directed_instr_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,444 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_illegal_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,444 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_cover_group.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,445 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_gen_config.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,445 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,445 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_sequence.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,445 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_stream.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,446 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_load_store_instr_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,446 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_loop_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,446 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,446 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_entry.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,447 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_exception_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,447 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_list.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,447 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_pmp_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,447 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_privil_reg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,448 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_privileged_common_seq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,448 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_pseudo_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,448 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_reg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,448 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_signature_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,449 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_vector_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,449 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs 2024-01-19 02:41:07,449 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/make.bat -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs 2024-01-19 02:41:07,449 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/check-status -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,449 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/link.ld -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,450 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-01-19 02:41:07,450 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_define.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-01-19 02:41:07,450 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_extension.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-01-19 02:41:07,450 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_init.s -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-01-19 02:41:07,450 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,451 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_amo_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,451 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_b_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,451 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_compressed_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,451 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_floating_point_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,452 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,452 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_instr_cov.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,452 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_vector_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,452 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv128c_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,453 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32a_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,453 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32b_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,453 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32c_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,453 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32d_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,453 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32dc_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,454 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32f_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,454 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32fc_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,454 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32i_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,454 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32m_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,454 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32v_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,455 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64a_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,455 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64b_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,455 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64c_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,455 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64d_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,456 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64f_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,456 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64i_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,456 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64m_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,456 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-01-19 02:41:07,456 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/riscv_custom_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-01-19 02:41:07,457 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/riscv_custom_instr_enum.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-01-19 02:41:07,457 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/rv32x_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-01-19 02:41:07,457 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/rv64x_instr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-01-19 02:41:07,457 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,457 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts 2024-01-19 02:41:07,458 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc 2024-01-19 02:41:07,458 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb 2024-01-19 02:41:07,458 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc 2024-01-19 02:41:07,458 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i 2024-01-19 02:41:07,459 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/appendix.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,459 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/class_reference.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,459 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/cmd_line_reference.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,459 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/configuration.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,459 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/coverage_model.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,460 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/customize_extend_generator.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,460 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/end_to_end_simulation.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,460 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/extension_support.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,460 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/generator_flow.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,461 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/getting_started.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,461 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/handshake.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,461 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/index.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,461 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/overview.rst -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,461 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/trace_csv.png -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,462 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target 2024-01-19 02:41:07,462 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-01-19 02:41:07,462 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-01-19 02:41:07,462 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-01-19 02:41:07,462 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-01-19 02:41:07,463 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-01-19 02:41:07,463 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-01-19 02:41:07,463 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-01-19 02:41:07,463 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-01-19 02:41:07,463 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-01-19 02:41:07,464 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-01-19 02:41:07,464 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-01-19 02:41:07,464 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-01-19 02:41:07,464 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc 2024-01-19 02:41:07,464 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc 2024-01-19 02:41:07,465 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc 2024-01-19 02:41:07,465 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-01-19 02:41:07,465 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-01-19 02:41:07,465 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-01-19 02:41:07,465 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-01-19 02:41:07,466 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-01-19 02:41:07,466 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-01-19 02:41:07,466 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-01-19 02:41:07,466 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-01-19 02:41:07,466 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-01-19 02:41:07,466 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-01-19 02:41:07,467 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-01-19 02:41:07,467 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-01-19 02:41:07,467 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-01-19 02:41:07,467 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-01-19 02:41:07,468 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-01-19 02:41:07,468 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-01-19 02:41:07,468 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-01-19 02:41:07,468 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-01-19 02:41:07,468 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-01-19 02:41:07,469 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-01-19 02:41:07,469 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-01-19 02:41:07,469 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-01-19 02:41:07,469 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-01-19 02:41:07,469 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-01-19 02:41:07,470 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-01-19 02:41:07,470 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-01-19 02:41:07,470 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-01-19 02:41:07,470 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-01-19 02:41:07,470 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/common.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint 2024-01-19 02:41:07,471 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/comportable.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint 2024-01-19 02:41:07,471 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/doc 2024-01-19 02:41:07,471 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/doc/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/doc 2024-01-19 02:41:07,471 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/ascentlint-config.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-01-19 02:41:07,471 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/common.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-01-19 02:41:07,472 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/comportable.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-01-19 02:41:07,472 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/rules.vbl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint 2024-01-19 02:41:07,472 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 02:41:07,472 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/ascentlint.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 02:41:07,473 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/common_lint_cfg.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 02:41:07,473 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/lint.mk -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 02:41:07,473 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/veriblelint.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 02:41:07,474 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/verilator.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 02:41:07,474 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/common.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-01-19 02:41:07,474 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/comportable.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-01-19 02:41:07,474 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,475 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/README.md.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,475 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent.core.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,475 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,475 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_cfg.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,475 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_cov.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,476 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_pkg.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,476 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_seq.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,476 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_test.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,476 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_vseq.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,476 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/bind.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,477 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/checklist.md.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,477 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/common_vseq.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,477 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/cov_excl.el.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,477 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/device_driver.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,478 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/driver.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,478 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env.core.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,478 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,478 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_cfg.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,478 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_cov.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,479 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_pkg.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,479 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/host_driver.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,479 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/if.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,479 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/index.md.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,480 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/item.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,480 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/monitor.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,480 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/scoreboard.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,480 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/seq_list.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,480 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sim.core.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,481 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sim_cfg.hjson.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,481 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/smoke_vseq.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,481 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sva.core.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,481 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/tb.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,482 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/test.core.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,482 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/test_pkg.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,482 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/testplan.hjson.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,482 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/virtual_sequencer.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,482 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/vseq_list.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,483 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,483 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/style.css -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,483 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/doc 2024-01-19 02:41:07,483 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/doc/testplanner.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/doc 2024-01-19 02:41:07,483 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples 2024-01-19 02:41:07,484 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-01-19 02:41:07,484 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/common_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-01-19 02:41:07,484 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_dv_doc.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-01-19 02:41:07,484 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_sim_results.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-01-19 02:41:07,484 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-01-19 02:41:07,485 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 02:41:07,485 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_buf.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 02:41:07,485 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_buf.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 02:41:07,485 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_gating.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 02:41:07,485 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_mux2.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 02:41:07,486 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_flop.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 02:41:07,486 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_flop_en.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 02:41:07,486 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_pad_attr.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 02:41:07,486 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_pad_wrapper.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 02:41:07,486 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_xor2.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 02:41:07,487 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,487 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,487 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_alert.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,487 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_arbiter.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,488 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_assert.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,488 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_buf.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,488 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_cipher.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,488 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_cipher_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,488 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_buf.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,489 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_div.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,489 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_gating.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,489 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_inv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,489 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_mux2.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,489 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_diff_decode.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,490 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_dom_and_2share.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,490 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_edn_req.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,490 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_esc.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,490 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_fifo.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,491 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flash.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,491 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,491 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop_2sync.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,491 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop_en.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,491 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_gf_mult.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,492 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_dec.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,492 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_sender.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,492 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_sync.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,492 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lfsr.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,492 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_msb_extend.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,493 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_multibit_sync.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,493 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_otp.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,493 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_otp_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,493 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_attr.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,494 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_wrapper.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,494 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_wrapper_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,494 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,494 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,494 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_adv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,495 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,495 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_scr.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,495 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,495 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_adv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,496 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_async_adv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,496 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,496 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,496 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom_adv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,496 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,497 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_secded.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,497 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_subreg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,497 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_usb_diff_rx.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,497 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,498 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util_get_scramble_params.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,498 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util_memload.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,498 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_xor2.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,498 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/primgen.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,498 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,499 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_buf.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,499 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_buf.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,499 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_gating.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,499 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_inv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,499 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_mux2.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,500 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flash.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,500 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,500 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop_2sync.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,500 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop_en.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,500 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_otp.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,501 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_pad_attr.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,501 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_pad_wrapper.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,501 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_ram_1p.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,501 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_ram_2p.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,502 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_rom.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,502 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_usb_diff_rx.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,502 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_xor2.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,502 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 02:41:07,502 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_buf.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 02:41:07,503 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_buf.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 02:41:07,503 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_gating.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 02:41:07,503 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_gating.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 02:41:07,503 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 02:41:07,503 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 02:41:07,504 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 02:41:07,504 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 02:41:07,504 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 02:41:07,504 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_buf.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 02:41:07,504 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_buf.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 02:41:07,505 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_gating.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 02:41:07,505 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_mux2.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 02:41:07,505 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_flop.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 02:41:07,505 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_flop_en.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 02:41:07,505 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_pad_attr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 02:41:07,506 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_pad_wrapper.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 02:41:07,506 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_xor2.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 02:41:07,506 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,506 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,507 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,507 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_arbiter.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,507 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_arbiter.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,507 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_buf.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,507 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_cipher_pkg.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,508 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_buf.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,508 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_div.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,508 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_gating.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,508 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_inv.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,508 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_mux2.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,509 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_fifo.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,509 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_fifo.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,509 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flash.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,509 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,510 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop_2sync.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,510 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop_en.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,510 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_otp.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,510 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_pad_attr.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,510 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_pad_wrapper.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,511 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,511 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p_adv.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,511 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p_scr.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,511 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_2p.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,512 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_rom.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,512 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_subreg.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,512 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_subreg.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,512 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_usb_diff_rx.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,512 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,513 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_async_fatal_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,513 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_async_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,513 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_fatal_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,513 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,513 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_fixed_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,514 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_ppc_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,514 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_tree_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,514 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_esc_rxtx_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,514 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_fifo_sync_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,514 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_keccak_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,515 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_lfsr_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,515 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_packer_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,515 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_22_16_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,515 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_28_22_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,516 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_39_32_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,516 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_64_57_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,516 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_72_64_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,516 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_22_16_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,516 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_39_32_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,517 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_72_64_fpv.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,517 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,517 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,517 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_receiver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,517 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_sender.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,518 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_fixed.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,518 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_ppc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,518 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_tree.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,518 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,519 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_dummy_macros.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,519 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_standard_macros.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,519 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_yosys_macros.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,519 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_cipher_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,520 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_clock_div.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,520 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_clock_gating_sync.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,520 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_diff_decode.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,520 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_dom_and_2share.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,520 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_edn_req.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,521 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,521 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_receiver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,521 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_sender.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,521 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_fifo_async.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,522 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_fifo_sync.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,522 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_filter.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,522 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_filter_ctr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,522 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_gate_gen.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,523 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_gf_mult.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,523 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_intr_hw.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,523 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_keccak.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,523 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,523 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_sender.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,524 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_sync.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,524 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lfsr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,524 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_msb_extend.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,524 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_multibit_sync.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,525 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_otp_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,525 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_packer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,525 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_packer_fifo.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,525 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_pad_wrapper_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,525 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_present.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,526 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_prince.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,526 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_pulse_sync.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,526 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_adv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,526 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,527 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_scr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,527 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_adv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,527 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_async_adv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,527 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,528 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_rom_adv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,528 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_rom_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,528 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_22_16_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,528 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_22_16_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,529 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_28_22_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,529 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_28_22_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,529 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_39_32_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,529 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_39_32_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,529 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_64_57_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,530 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_64_57_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,530 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_72_64_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,530 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_72_64_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,530 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_22_16_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,530 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_22_16_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,531 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_39_32_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,531 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_39_32_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,531 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_72_64_dec.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,531 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_72_64_enc.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,532 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,532 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_slicer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,532 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sram_arbiter.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,532 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,533 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_arb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,533 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_ext.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,533 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_shadow.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,533 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subst_perm.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,533 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_reqack.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,534 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_reqack_data.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,534 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_slow_fast.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,534 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_get_scramble_params.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,534 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_memload.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,535 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,535 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 02:41:07,535 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_flash.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 02:41:07,535 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_keccak.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 02:41:07,535 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_lfsr.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 02:41:07,536 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_packer.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 02:41:07,536 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_packer_fifo.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 02:41:07,536 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_present.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 02:41:07,536 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_prince.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 02:41:07,536 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,537 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,537 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,537 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,537 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,538 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,538 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fatal_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,538 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fatal_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,538 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,538 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_fixed_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,539 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_ppc_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,539 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_tree_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,539 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_esc_rxtx_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,539 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_esc_rxtx_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,539 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_fifo_sync_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,540 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_fifo_sync_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,540 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_keccak_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,540 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_lfsr_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,540 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_packer_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,541 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_22_16_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,541 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_22_16_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,541 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_28_22_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,541 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_28_22_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,541 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_39_32_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,542 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_39_32_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,542 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_64_57_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,542 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_64_57_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,542 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_72_64_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,543 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_72_64_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,543 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_22_16_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,543 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_22_16_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,543 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_39_32_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,543 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_39_32_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,544 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_72_64_bind_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,544 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_72_64_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,544 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,544 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_alert_rxtx_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,544 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_alert_rxtx_async_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,545 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_esc_rxtx_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,545 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_fifo_sync_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,545 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_22_16_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,545 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_28_22_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,546 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_39_32_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,546 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_64_57_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,546 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_72_64_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,546 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_22_16_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,546 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_39_32_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,547 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_72_64_assert_fpv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,547 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-01-19 02:41:07,547 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/abstract_prim.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-01-19 02:41:07,547 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/prim_pkg.core.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-01-19 02:41:07,548 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/prim_pkg.sv.tpl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-01-19 02:41:07,548 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py.lock.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor 2024-01-19 02:41:07,548 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py.vendor.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor 2024-01-19 02:41:07,548 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/BUILD -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 02:41:07,548 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv 2024-01-19 02:41:07,549 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack 2024-01-19 02:41:07,549 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack 2024-01-19 02:41:07,549 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/prim_sync_reqack_tb.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack 2024-01-19 02:41:07,549 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/rtl 2024-01-19 02:41:07,549 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/rtl/prim_sync_reqack_tb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/rtl 2024-01-19 02:41:07,549 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/cpp 2024-01-19 02:41:07,550 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/cpp/prim_sync_reqack_tb.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/cpp 2024-01-19 02:41:07,550 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv 2024-01-19 02:41:07,550 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince 2024-01-19 02:41:07,550 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/prim_prince_sim.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince 2024-01-19 02:41:07,550 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/prim_prince_sim_cfg.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince 2024-01-19 02:41:07,551 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present 2024-01-19 02:41:07,551 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/prim_present_sim.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present 2024-01-19 02:41:07,551 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/prim_present_sim_cfg.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present 2024-01-19 02:41:07,551 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr 2024-01-19 02:41:07,551 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/prim_lfsr_sim.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr 2024-01-19 02:41:07,551 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/prim_lfsr_sim_cfg.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr 2024-01-19 02:41:07,552 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-01-19 02:41:07,552 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-01-19 02:41:07,552 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-01-19 02:41:07,552 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-01-19 02:41:07,552 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/data 2024-01-19 02:41:07,553 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/data/prim_prince_cover.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/data 2024-01-19 02:41:07,553 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/tb 2024-01-19 02:41:07,553 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/tb/prim_prince_tb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/tb 2024-01-19 02:41:07,553 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 02:41:07,553 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 02:41:07,554 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 02:41:07,554 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 02:41:07,554 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_sim_opts.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 02:41:07,554 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_prince_ref.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 02:41:07,554 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/prince_ref.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 02:41:07,555 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/data 2024-01-19 02:41:07,555 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/data/prim_present_cover.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/data 2024-01-19 02:41:07,555 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/tb 2024-01-19 02:41:07,555 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/tb/prim_present_tb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/tb 2024-01-19 02:41:07,555 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 02:41:07,556 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/boxes.inc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 02:41:07,556 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/comline.inc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 02:41:07,556 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.c -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 02:41:07,556 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 02:41:07,556 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 02:41:07,557 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/present.inc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 02:41:07,557 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/verbose.inc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 02:41:07,557 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data 2024-01-19 02:41:07,557 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data/prim_lfsr_cov_excl.el -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data 2024-01-19 02:41:07,558 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data/prim_lfsr_cover.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data 2024-01-19 02:41:07,558 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/tb 2024-01-19 02:41:07,558 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/tb/prim_lfsr_tb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/tb 2024-01-19 02:41:07,558 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr 2024-01-19 02:41:07,558 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-01-19 02:41:07,558 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-01-19 02:41:07,559 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-01-19 02:41:07,559 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-01-19 02:41:07,559 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,559 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_gating.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,559 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_gating.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,560 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_mux2.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,560 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_mux2.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,560 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_flash.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,560 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_flash.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,561 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_otp.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,561 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_otp.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,561 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_pad_wrapper.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,561 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_pad_wrapper.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,561 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_1p.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,562 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_1p.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,562 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_2p.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,562 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_2p.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,562 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_rom.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,562 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_rom.waiver -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,563 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,563 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_buf.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,563 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_buf.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,563 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_gating.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,563 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_inv.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,564 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_mux2.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,564 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flash.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,564 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flash_bank.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,564 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,565 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop_2sync.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,565 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop_en.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,565 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_otp.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,565 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_pad_attr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,566 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_pad_wrapper.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,566 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_ram_1p.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,566 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_ram_2p.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,566 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_rom.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,566 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_usb_diff_rx.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,567 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_xor2.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,567 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-01-19 02:41:07,567 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/common.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-01-19 02:41:07,567 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/sim.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-01-19 02:41:07,567 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/waves.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-01-19 02:41:07,568 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 02:41:07,568 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 02:41:07,568 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 02:41:07,568 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi_scrambled.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 02:41:07,568 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi_scrambled_opts.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 02:41:07,569 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_verilator.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 02:41:07,569 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/riviera 2024-01-19 02:41:07,569 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/riviera/riviera_run.do -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/riviera 2024-01-19 02:41:07,569 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 02:41:07,569 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/cov_merge.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 02:41:07,570 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/cov_report.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 02:41:07,570 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/exclude.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 02:41:07,570 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/unr.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 02:41:07,570 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/xcelium.ccf -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 02:41:07,570 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 02:41:07,571 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/common_cov_excl.el -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 02:41:07,571 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/cover.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 02:41:07,571 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/cover_reg_top.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 02:41:07,571 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/unr.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 02:41:07,571 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/xprop.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 02:41:07,572 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 02:41:07,572 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/common_modes.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 02:41:07,572 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/common_sim_cfg.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 02:41:07,572 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/dsim.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 02:41:07,573 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/fusesoc.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 02:41:07,573 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/riviera.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 02:41:07,573 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/sim.mk -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 02:41:07,573 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/vcs.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 02:41:07,573 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/verilator.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 02:41:07,574 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/xcelium.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 02:41:07,574 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-01-19 02:41:07,574 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/ralgen.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-01-19 02:41:07,574 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 02:41:07,575 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/alert_test.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 02:41:07,575 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/csr_tests.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 02:41:07,575 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/intr_test.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 02:41:07,575 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/mem_tests.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 02:41:07,575 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/shadow_reg_errors_tests.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 02:41:07,576 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/stress_tests.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 02:41:07,576 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/tl_access_tests.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 02:41:07,576 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 02:41:07,576 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/alert_test_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 02:41:07,576 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/csr_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 02:41:07,577 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/enable_reg_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 02:41:07,577 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/fpv_csr_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 02:41:07,577 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/intr_test_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 02:41:07,577 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/mem_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 02:41:07,577 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/shadow_reg_errors_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 02:41:07,578 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/stress_all_with_reset_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 02:41:07,578 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/tl_device_access_types_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 02:41:07,578 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv 2024-01-19 02:41:07,578 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-01-19 02:41:07,578 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-01-19 02:41:07,579 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-01-19 02:41:07,579 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-01-19 02:41:07,579 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-01-19 02:41:07,579 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-01-19 02:41:07,579 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-01-19 02:41:07,580 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_seq_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-01-19 02:41:07,580 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_utils.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-01-19 02:41:07,580 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_utils_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-01-19 02:41:07,580 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 02:41:07,581 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/csr_excl_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 02:41:07,581 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_mem.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 02:41:07,581 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 02:41:07,581 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 02:41:07,581 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_block.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 02:41:07,582 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_field.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 02:41:07,582 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_map.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 02:41:07,582 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 02:41:07,582 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 02:41:07,583 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/clk_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 02:41:07,583 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/clk_rst_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 02:41:07,583 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/common_ifs.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 02:41:07,583 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/index.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 02:41:07,583 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 02:41:07,584 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_if.svg -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 02:41:07,584 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_ifs.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 02:41:07,584 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,584 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,584 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_fcov_macros.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,585 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_fcov_macros.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,585 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_macros.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,585 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_macros.svh -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,585 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_report_server.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,586 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_test_status.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,586 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_test_status_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,586 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_utils.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,586 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_utils_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,586 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_vif_wrap.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,587 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-01-19 02:41:07,587 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-01-19 02:41:07,587 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/str_utils.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-01-19 02:41:07,587 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/str_utils_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-01-19 02:41:07,587 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,588 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,588 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,588 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,588 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent_cov.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,589 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_driver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,589 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,589 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,589 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env_cov.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,589 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,590 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_scoreboard.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,590 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_seq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,590 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_sequencer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,590 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_test.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,590 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_virtual_sequencer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,591 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,591 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_lib.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,591 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_lib_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,591 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,592 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/dpi_memutil.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,592 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/dpi_memutil.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,592 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ecc32_mem_area.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,592 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ecc32_mem_area.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,592 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/mem_area.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,593 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/mem_area.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,593 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ranged_map.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,593 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/scrambled_ecc32_mem_area.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,593 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/scrambled_ecc32_mem_area.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,594 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/sv_scoped.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,594 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/sv_scoped.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,594 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/verilator_memutil.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,594 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/verilator_memutil.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,594 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator 2024-01-19 02:41:07,595 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/simutil_verilator.core -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator 2024-01-19 02:41:07,595 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 02:41:07,595 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/sim_ctrl_extension.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 02:41:07,595 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilated_toplevel.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 02:41:07,595 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilated_toplevel.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 02:41:07,596 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.cc -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 02:41:07,596 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.h -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 02:41:07,596 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches 2024-01-19 02:41:07,596 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/eembc_coremark 2024-01-19 02:41:07,596 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/patches/eembc_coremark/0001-no-minimum-run-time.patch -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/eembc_coremark 2024-01-19 02:41:07,597 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/google_riscv-dv 2024-01-19 02:41:07,597 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/patches/google_riscv-dv/0001-csr-test-start-addr.patch -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/google_riscv-dv 2024-01-19 02:41:07,597 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip 2024-01-19 02:41:07,597 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_utils 2024-01-19 02:41:07,597 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_utils/0001-use-ibex-bus-params.patch -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_utils 2024-01-19 02:41:07,597 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_tools 2024-01-19 02:41:07,597 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_tools/0001-common-sim-cfg.patch -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_tools 2024-01-19 02:41:07,598 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_lib 2024-01-19 02:41:07,598 root INFO copying pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_lib/0001-use-ibex-bus-params.patch -> build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_lib 2024-01-19 02:41:07,598 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples 2024-01-19 02:41:07,599 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw 2024-01-19 02:41:07,599 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/.gitignore -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw 2024-01-19 02:41:07,599 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 02:41:07,599 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 02:41:07,599 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.cc -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 02:41:07,599 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.core -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 02:41:07,600 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.h -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 02:41:07,600 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system_core.core -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 02:41:07,600 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system_main.cc -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 02:41:07,600 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/spike-simple-system.sh -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 02:41:07,601 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga 2024-01-19 02:41:07,601 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7 2024-01-19 02:41:07,601 root INFO copying pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7 2024-01-19 02:41:07,601 root INFO copying pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/top_artya7.core -> build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7 2024-01-19 02:41:07,601 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/data 2024-01-19 02:41:07,601 root INFO copying pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/data/pins_artya7.xdc -> build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/data 2024-01-19 02:41:07,602 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util 2024-01-19 02:41:07,602 root INFO copying pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util/vivado_hook_write_bitstream_pre.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util 2024-01-19 02:41:07,602 root INFO copying pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util/vivado_setup_hooks.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util 2024-01-19 02:41:07,602 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/rtl 2024-01-19 02:41:07,602 root INFO copying pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/rtl/top_artya7.sv -> build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/rtl 2024-01-19 02:41:07,603 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-01-19 02:41:07,603 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/led/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-01-19 02:41:07,603 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/led/crt0.S -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-01-19 02:41:07,603 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/led/led.c -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-01-19 02:41:07,603 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/led/link.ld -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-01-19 02:41:07,604 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks 2024-01-19 02:41:07,604 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks 2024-01-19 02:41:07,604 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system 2024-01-19 02:41:07,604 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test 2024-01-19 02:41:07,604 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test 2024-01-19 02:41:07,604 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test/hello_test.c -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test 2024-01-19 02:41:07,605 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 02:41:07,605 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/common.mk -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 02:41:07,605 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/crt0.S -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 02:41:07,605 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/link.ld -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 02:41:07,605 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_common.c -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 02:41:07,606 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_common.h -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 02:41:07,606 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_regs.h -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 02:41:07,606 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark 2024-01-19 02:41:07,606 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark 2024-01-19 02:41:07,606 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-01-19 02:41:07,607 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.c -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-01-19 02:41:07,607 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.h -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-01-19 02:41:07,607 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.mak -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-01-19 02:41:07,607 root INFO copying pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/ee_printf.c -> build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-01-19 02:41:07,608 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint 2024-01-19 02:41:07,608 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/lint/verible_waiver.vbw -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint 2024-01-19 02:41:07,608 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/lint/verilator_waiver.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint 2024-01-19 02:41:07,608 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/rtl 2024-01-19 02:41:07,608 root INFO copying pythondata_cpu_ibex/system_verilog/examples/simple_system/rtl/ibex_simple_system.sv -> build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/rtl 2024-01-19 02:41:07,608 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 02:41:07,609 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/cosim.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 02:41:07,609 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/cosim.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 02:41:07,609 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 02:41:07,609 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 02:41:07,610 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 02:41:07,610 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.svh -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 02:41:07,610 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/spike_cosim.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 02:41:07,610 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cosim/spike_cosim.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 02:41:07,610 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-01-19 02:41:07,611 root INFO copying pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-01-19 02:41:07,611 root INFO copying pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/ibex_riscv_compliance.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-01-19 02:41:07,611 root INFO copying pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/ibex_riscv_compliance.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-01-19 02:41:07,611 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-01-19 02:41:07,611 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-01-19 02:41:07,612 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-01-19 02:41:07,612 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb_cs_registers.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-01-19 02:41:07,612 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/common_project_cfg.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm 2024-01-19 02:41:07,612 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/lint 2024-01-19 02:41:07,612 root INFO copying pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/lint/verilator_waiver.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/lint 2024-01-19 02:41:07,613 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl 2024-01-19 02:41:07,613 root INFO copying pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl/ibex_riscv_compliance.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl 2024-01-19 02:41:07,613 root INFO copying pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl/riscv_testutil.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl 2024-01-19 02:41:07,613 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 02:41:07,613 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/env_dpi.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 02:41:07,613 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/env_dpi.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 02:41:07,614 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_environment.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 02:41:07,614 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_environment.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 02:41:07,614 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_types.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 02:41:07,614 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/simctrl.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 02:41:07,615 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/simctrl.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 02:41:07,615 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/lint 2024-01-19 02:41:07,615 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/lint/verilator_waiver.vlt -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/lint 2024-01-19 02:41:07,615 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-01-19 02:41:07,615 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/base_register.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-01-19 02:41:07,616 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/base_register.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-01-19 02:41:07,616 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/register_model.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-01-19 02:41:07,616 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/register_model.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-01-19 02:41:07,616 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb 2024-01-19 02:41:07,616 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb/tb_cs_registers.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb 2024-01-19 02:41:07,617 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb/tb_cs_registers.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb 2024-01-19 02:41:07,617 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-01-19 02:41:07,617 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/reset_driver.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-01-19 02:41:07,617 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/reset_driver.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-01-19 02:41:07,617 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/rst_dpi.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-01-19 02:41:07,618 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/rst_dpi.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-01-19 02:41:07,618 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 02:41:07,618 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/csr_listing.def -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 02:41:07,618 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/reg_dpi.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 02:41:07,618 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/reg_dpi.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 02:41:07,619 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_driver.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 02:41:07,619 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_driver.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 02:41:07,619 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_transaction.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 02:41:07,619 root INFO copying pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_transaction.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 02:41:07,619 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator 2024-01-19 02:41:07,619 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 02:41:07,620 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 02:41:07,620 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_cosim_setup_check.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 02:41:07,620 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 02:41:07,620 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim_checker.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 02:41:07,621 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim_checker_bind.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 02:41:07,621 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/simple_system_cosim.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 02:41:07,621 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount 2024-01-19 02:41:07,621 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/ibex_pcounts.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount 2024-01-19 02:41:07,621 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/util 2024-01-19 02:41:07,621 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/util/ibex_cosim_setup_check.sh -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/util 2024-01-19 02:41:07,622 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp 2024-01-19 02:41:07,622 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp/ibex_pcounts.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp 2024-01-19 02:41:07,622 root INFO copying pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp/ibex_pcounts.h -> build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp 2024-01-19 02:41:07,622 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,622 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/cover.cfg -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,623 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/ibex_dv.f -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,623 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/ibex_dv_cosim_dpi.f -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,623 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/vcs.tcl -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,623 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-01-19 02:41:07,623 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-01-19 02:41:07,624 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/bus_params_pkg.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-01-19 02:41:07,624 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/bus_params_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-01-19 02:41:07,624 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 02:41:07,624 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_csr_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 02:41:07,625 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_dut_probe_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 02:41:07,625 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 02:41:07,625 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 02:41:07,625 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 02:41:07,625 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_instr_monitor_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 02:41:07,626 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_rvfi_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 02:41:07,626 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_vseqr.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 02:41:07,626 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/yaml 2024-01-19 02:41:07,626 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/yaml/rtl_simulation.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/yaml 2024-01-19 02:41:07,626 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 02:41:07,627 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_base_test.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 02:41:07,627 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_report_server.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 02:41:07,627 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_seq_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 02:41:07,627 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_test_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 02:41:07,628 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_test_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 02:41:07,628 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 02:41:07,628 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov 2024-01-19 02:41:07,628 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov/core_ibex_fcov_bind.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov 2024-01-19 02:41:07,628 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov/core_ibex_fcov_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov 2024-01-19 02:41:07,629 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tb 2024-01-19 02:41:07,629 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tb/core_ibex_tb_top.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tb 2024-01-19 02:41:07,629 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/cov_testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 02:41:07,629 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/csr_description.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 02:41:07,629 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ibex_asm_program_gen.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 02:41:07,630 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ml_testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 02:41:07,630 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/riscvOVPsim.ic -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 02:41:07,630 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/riscv_core_setting.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 02:41:07,630 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/testlist.yaml -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 02:41:07,631 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/user_extension.svh -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 02:41:07,631 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common 2024-01-19 02:41:07,631 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 02:41:07,631 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_agent_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 02:41:07,631 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 02:41:07,632 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 02:41:07,632 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_request_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 02:41:07,632 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_request_driver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 02:41:07,632 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_seq_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 02:41:07,632 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,633 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,633 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_agent.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,633 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_agent_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,633 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,633 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_request_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,634 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_request_driver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,634 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,634 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_driver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,634 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_seq_lib.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,634 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_sequencer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,635 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_seq_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,635 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,635 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/core_ibex_ifetch_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,635 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,635 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_agent_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,636 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,636 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_scoreboard.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,636 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_ifetch_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,636 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_ifetch_seq_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,637 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_rvfi_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,637 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_rvfi_seq_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,637 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/spike_cosim_dpi.cc -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,637 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/spike_cosim_dpi.svh -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,637 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-01-19 02:41:07,638 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_buf.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-01-19 02:41:07,638 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_clock_gating.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-01-19 02:41:07,638 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-01-19 02:41:07,638 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_ram_1p.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-01-19 02:41:07,638 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache 2024-01-19 02:41:07,639 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/data 2024-01-19 02:41:07,639 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/data/ibex_icache_testplan.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/data 2024-01-19 02:41:07,639 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc 2024-01-19 02:41:07,639 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc/ibex_icache_dv_plan.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc 2024-01-19 02:41:07,639 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc/tb.svg -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc 2024-01-19 02:41:07,640 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-01-19 02:41:07,640 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/Makefile -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-01-19 02:41:07,640 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_sim.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-01-19 02:41:07,640 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_sim_cfg.hjson -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-01-19 02:41:07,640 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 02:41:07,641 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 02:41:07,641 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 02:41:07,641 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 02:41:07,641 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_cov.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 02:41:07,642 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 02:41:07,642 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_scoreboard.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 02:41:07,642 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_virtual_sequencer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 02:41:07,642 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,642 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,643 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,643 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,643 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,643 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_cov.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,643 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,644 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_bus_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,644 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_driver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,644 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,644 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,645 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_protocol_checker.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,645 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_req_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,645 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_rsp_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,645 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_sequencer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,646 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-01-19 02:41:07,646 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_base_test.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-01-19 02:41:07,646 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_oldval_test.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-01-19 02:41:07,646 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_test.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-01-19 02:41:07,646 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_test_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-01-19 02:41:07,647 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb 2024-01-19 02:41:07,647 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb/ic_top.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb 2024-01-19 02:41:07,647 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb/tb.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb 2024-01-19 02:41:07,647 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,647 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,648 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,648 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,648 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,648 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_cov.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,648 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,649 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_bus_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,649 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_driver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,649 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,649 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_model.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,649 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,650 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_protocol_checker.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,650 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_req_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,650 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_resp_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,650 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_sequencer.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,651 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-01-19 02:41:07,651 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-01-19 02:41:07,651 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/prim_badbit_ram_1p.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-01-19 02:41:07,651 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/prim_badbit_ram_1p.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-01-19 02:41:07,651 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:07,651 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/README.md -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:07,652 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent.core -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:07,652 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:07,652 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent_cfg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:07,652 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent_pkg.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:07,653 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_bus_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:07,653 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_driver.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:07,653 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_if.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:07,653 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_item.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:07,653 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_monitor.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:07,654 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_protocol_checker.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:07,654 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,654 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_back_line_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,654 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_base_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,654 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_caching_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,655 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_combo_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,655 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_ecc_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,655 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_invalidation_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,655 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_many_errors_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,656 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_oldval_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,656 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_passthru_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,656 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_reset_vseq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,656 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_vseq_list.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,656 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-01-19 02:41:07,657 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_back_line_seq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-01-19 02:41:07,657 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_base_seq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-01-19 02:41:07,657 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_seq_list.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-01-19 02:41:07,657 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-01-19 02:41:07,657 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_base_seq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-01-19 02:41:07,658 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_resp_seq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-01-19 02:41:07,658 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_seq_list.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-01-19 02:41:07,658 root INFO creating build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib 2024-01-19 02:41:07,658 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib/ibex_icache_ecc_base_seq.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib 2024-01-19 02:41:07,658 root INFO copying pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib/ibex_icache_ecc_seq_list.sv -> build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib 2024-01-19 02:41:07,680 wheel INFO installing to build/bdist.linux-x86_64/wheel 2024-01-19 02:41:07,680 root INFO running install 2024-01-19 02:41:07,689 root INFO running install_lib 2024-01-19 02:41:07,691 root INFO creating build/bdist.linux-x86_64 2024-01-19 02:41:07,691 root INFO creating build/bdist.linux-x86_64/wheel 2024-01-19 02:41:07,691 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex 2024-01-19 02:41:07,691 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,691 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_multdiv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,692 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_pkg.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,692 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_top_tracing.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,692 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/lint 2024-01-19 02:41:07,692 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/lint/verible_waiver.vbw -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/lint 2024-01-19 02:41:07,692 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/lint/verilator_waiver.vlt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/lint 2024-01-19 02:41:07,693 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal 2024-01-19 02:41:07,693 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal 2024-01-19 02:41:07,693 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,693 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_div.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,693 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/ibex_data_ind_timing.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,694 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_rem.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,694 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_rem.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,694 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_div.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,694 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_mulh.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,694 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/run.sby.j2 -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,695 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/formal_tb_frag.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,695 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_mull.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,695 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_mull.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,695 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_rem.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,695 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_div.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,696 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_div.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,696 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/formal_tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,696 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_mulh.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,696 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_mulh.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,696 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_mull.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,697 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,697 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_mulh.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,697 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_mull.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,697 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_rem.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/data_ind_timing 2024-01-19 02:41:07,697 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 02:41:07,698 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/icache/ibex_icache_fpv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 02:41:07,698 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/icache/run.sby.j2 -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 02:41:07,698 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/icache/formal_tb_frag.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 02:41:07,698 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/icache/formal_tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 02:41:07,698 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/icache/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/icache 2024-01-19 02:41:07,699 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/riscv-formal 2024-01-19 02:41:07,699 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/riscv-formal/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/riscv-formal 2024-01-19 02:41:07,699 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/formal/riscv-formal/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/formal/riscv-formal 2024-01-19 02:41:07,699 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_configs.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,699 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 02:41:07,700 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/ibex_top_lr_synth_conf.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 02:41:07,700 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/translate_timing_rpts.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 02:41:07,700 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 02:41:07,700 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/lec_sv2v.do -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 02:41:07,700 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 02:41:07,700 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_common.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 02:41:07,701 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/sta_common.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 02:41:07,701 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/sta_run_reports.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 02:41:07,701 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/lr_synth_flow_var_setup.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 02:41:07,701 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_pre_map.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 02:41:07,701 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/sta_utils.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 02:41:07,702 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_run_synth.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 02:41:07,702 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/sta_open_design.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 02:41:07,702 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/flow_utils.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 02:41:07,702 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_post_synth.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn/tcl 2024-01-19 02:41:07,702 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/ibex_top.nangate.sdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 02:41:07,703 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn/rtl 2024-01-19 02:41:07,703 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/rtl/latch_map.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn/rtl 2024-01-19 02:41:07,703 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/rtl/prim_clock_gating.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn/rtl 2024-01-19 02:41:07,703 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/syn_setup.example.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 02:41:07,703 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/lec_sv2v.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 02:41:07,704 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/syn_yosys.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 02:41:07,704 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn/python 2024-01-19 02:41:07,704 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/python/translate_timing_csv.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn/python 2024-01-19 02:41:07,704 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/python/flow_utils.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn/python 2024-01-19 02:41:07,704 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/python/build_translated_names.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn/python 2024-01-19 02:41:07,704 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/python/get_kge.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn/python 2024-01-19 02:41:07,705 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/syn/ibex_top_abc.nangate.sdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/syn 2024-01-19 02:41:07,705 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/src_files.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,705 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/shared 2024-01-19 02:41:07,705 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/fpga_xilinx.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/shared 2024-01-19 02:41:07,705 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/sim_shared.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/shared 2024-01-19 02:41:07,706 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-01-19 02:41:07,706 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl/fpga 2024-01-19 02:41:07,706 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl/fpga/xilinx 2024-01-19 02:41:07,706 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/fpga/xilinx/clkgen_xil7series.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl/fpga/xilinx 2024-01-19 02:41:07,706 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/ram_1p.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-01-19 02:41:07,707 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/bus.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-01-19 02:41:07,707 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/timer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-01-19 02:41:07,707 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/ram_2p.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl 2024-01-19 02:41:07,707 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl/sim 2024-01-19 02:41:07,707 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/shared/rtl/sim/simulator_ctrl.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/shared/rtl/sim 2024-01-19 02:41:07,707 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/check_tool_requirements.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,708 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_tracer.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,708 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/.github 2024-01-19 02:41:07,708 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/.github/workflows 2024-01-19 02:41:07,708 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/.github/workflows/pr_trigger.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/.github/workflows 2024-01-19 02:41:07,708 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/.github/workflows/pr_lint_review.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/.github/workflows 2024-01-19 02:41:07,709 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:07,709 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE/question.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:07,709 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE/bug.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE 2024-01-19 02:41:07,709 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,709 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/LICENSE -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,710 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,710 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/CREDITS.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,710 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/util 2024-01-19 02:41:07,710 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/util/sv2v_in_place.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/util 2024-01-19 02:41:07,710 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/util/check_tool_requirements.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/util 2024-01-19 02:41:07,711 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/util/ibex_util_sv2v.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/util 2024-01-19 02:41:07,711 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/util/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/util 2024-01-19 02:41:07,711 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/util/ibex_config.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/util 2024-01-19 02:41:07,711 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/python-requirements.txt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,711 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,712 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_pmp.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,712 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_cs_registers.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,712 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_csr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,712 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_load_store_unit.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,713 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_lockstep.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,713 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_tracer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,713 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_branch_predict.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,713 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_icache.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,713 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_dummy_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,714 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_latch.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,714 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_compressed_decoder.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,714 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_alu.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,714 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_decoder.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,715 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_ex_block.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,715 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_prefetch_buffer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,715 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,715 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_core.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,715 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_wb_stage.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,716 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_top_tracing.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,716 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_multdiv_fast.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,716 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_counter.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,716 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_fetch_fifo.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,717 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_core.f -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,717 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_top.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,717 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_fpga.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,717 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_ff.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,717 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_multdiv_slow.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,718 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_if_stage.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,718 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_tracer_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,718 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_controller.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,718 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/rtl/ibex_id_stage.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/rtl 2024-01-19 02:41:07,719 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 02:41:07,719 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-01-19 02:41:07,719 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview/compliance.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-01-19 02:41:07,719 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview/licensing.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-01-19 02:41:07,719 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview/index.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-01-19 02:41:07,720 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/01_overview/targets.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/01_overview 2024-01-19 02:41:07,720 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/make.bat -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 02:41:07,720 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 02:41:07,720 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/04_developer 2024-01-19 02:41:07,720 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/04_developer/concierge.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/04_developer 2024-01-19 02:41:07,720 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/04_developer/index.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/04_developer 2024-01-19 02:41:07,721 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,721 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/performance_counters.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,721 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/instruction_decode_execute.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,721 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/history.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,721 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/icache.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,722 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/verification.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,722 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/instruction_fetch.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,722 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 02:41:07,722 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/tb.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 02:41:07,724 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/icache_mux.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 02:41:07,724 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/dv-flow.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 02:41:07,725 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/if_stage.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 02:41:07,725 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/blockdiagram.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 02:41:07,726 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/icache_block.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 02:41:07,726 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/de_ex_stage.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 02:41:07,726 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/images/logo.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference/images 2024-01-19 02:41:07,726 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/security.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,727 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/pipeline_details.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,727 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/exception_interrupts.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,727 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/cs_registers.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,727 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/debug.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,728 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/pmp.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,728 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/cosim.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,728 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/tracer.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,728 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/index.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,728 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/rvfi.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,729 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/register_file.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,729 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/03_reference/load_store_unit.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/03_reference 2024-01-19 02:41:07,729 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/conf.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 02:41:07,729 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/_static 2024-01-19 02:41:07,729 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/_static/theme_overrides.css -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/_static 2024-01-19 02:41:07,730 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/index.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 02:41:07,730 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 02:41:07,730 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user/examples.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 02:41:07,730 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user/getting_started.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 02:41:07,730 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user/integration.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 02:41:07,731 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user/index.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 02:41:07,731 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/02_user/system_requirements.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc/02_user 2024-01-19 02:41:07,731 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 02:41:07,731 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/doc/requirements.txt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/doc 2024-01-19 02:41:07,731 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/CONTRIBUTING.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,732 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 02:41:07,732 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ci/vars_to_logging_cmd.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 02:41:07,732 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ci/vars.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 02:41:07,732 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ci/azp-private.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 02:41:07,732 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ci/ibex-rtl-ci-steps.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 02:41:07,733 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ci/install-build-deps.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/ci 2024-01-19 02:41:07,733 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_top.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,733 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_icache.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,733 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/.clang-format -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,733 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/ibex_core.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,734 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 02:41:07,734 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv.lock.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 02:41:07,734 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip.vendor.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 02:41:07,734 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 02:41:07,734 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-01-19 02:41:07,734 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-01-19 02:41:07,735 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.mak -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-01-19 02:41:07,735 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple 2024-01-19 02:41:07,735 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-01-19 02:41:07,735 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-01-19 02:41:07,736 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.mak -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-01-19 02:41:07,736 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64 2024-01-19 02:41:07,736 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 02:41:07,736 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-01-19 02:41:07,736 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-01-19 02:41:07,737 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.mak -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-01-19 02:41:07,737 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd 2024-01-19 02:41:07,737 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/coremark.md5 -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 02:41:07,737 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_state.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 02:41:07,737 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_main.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 02:41:07,738 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-01-19 02:41:07,738 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-01-19 02:41:07,738 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.mak -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-01-19 02:41:07,738 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux 2024-01-19 02:41:07,738 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_list_join.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 02:41:07,739 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-01-19 02:41:07,739 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-01-19 02:41:07,739 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.mak -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-01-19 02:41:07,739 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin 2024-01-19 02:41:07,739 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 02:41:07,740 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/cvt.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 02:41:07,740 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 02:41:07,740 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/ee_printf.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 02:41:07,740 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.mak -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 02:41:07,740 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones 2024-01-19 02:41:07,741 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-01-19 02:41:07,741 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/READM.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-01-19 02:41:07,741 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/balance_O0_joined.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-01-19 02:41:07,741 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html 2024-01-19 02:41:07,741 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 02:41:07,742 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Types.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 02:41:07,742 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Configurations.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 02:41:07,742 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Variables.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 02:41:07,742 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/General.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 02:41:07,743 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/BuildTargets.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 02:41:07,743 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Functions.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 02:41:07,743 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Files.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 02:41:07,743 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Configuration.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 02:41:07,743 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/General2.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index 2024-01-19 02:41:07,744 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,744 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesD.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,744 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralV.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,744 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationH.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,744 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralC.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,745 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FilesC.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,745 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationM.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,745 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/TypesS.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,745 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesL.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,745 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralB.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,746 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsM.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,746 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralO.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,746 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesS.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,746 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationU.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,746 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsS.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,747 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FilesR.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,747 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsT.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,747 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsH.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,747 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsT.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,747 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesO.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,748 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesR.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,748 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralM.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,748 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsS.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,748 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsC.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,748 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralR.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,749 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralH.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,749 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralL.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,749 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralU.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,749 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationT.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,749 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationC.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,750 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralF.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,750 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralT.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,750 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsM.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,750 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralI.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,750 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralW.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,750 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralS.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,751 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsG.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,751 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/NoResults.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,751 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsP.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,751 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesP.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,752 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesC.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,752 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralP.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,752 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralD.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,752 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/BuildTargetsP.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,752 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralG.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,753 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationS.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,753 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsI.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search 2024-01-19 02:41:07,753 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 02:41:07,753 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/release_notes-txt.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 02:41:07,753 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_util-c.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 02:41:07,754 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_state-c.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 02:41:07,754 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_list_join-c.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 02:41:07,754 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-01-19 02:41:07,754 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-mak.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-01-19 02:41:07,754 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-c.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-01-19 02:41:07,755 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-h.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux 2024-01-19 02:41:07,755 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/coremark-h.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 02:41:07,755 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_matrix-c.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 02:41:07,755 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/docs 2024-01-19 02:41:07,755 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/docs/core_state.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/docs 2024-01-19 02:41:07,756 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/readme-txt.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 02:41:07,756 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/PIC32 2024-01-19 02:41:07,756 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/PIC32/core_portme-mak.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/PIC32 2024-01-19 02:41:07,756 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_main-c.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files 2024-01-19 02:41:07,757 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index.html -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html 2024-01-19 02:41:07,757 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript 2024-01-19 02:41:07,757 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript/main.js -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript 2024-01-19 02:41:07,757 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript/searchdata.js -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript 2024-01-19 02:41:07,757 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-01-19 02:41:07,758 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/2.css -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-01-19 02:41:07,758 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/1.css -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-01-19 02:41:07,758 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/main.css -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles 2024-01-19 02:41:07,758 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/coremark_profile_o0_joined.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs 2024-01-19 02:41:07,759 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_matrix.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 02:41:07,759 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/LICENSE.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 02:41:07,759 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 02:41:07,759 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_util.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 02:41:07,760 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/coremark.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark 2024-01-19 02:41:07,760 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,760 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 02:41:07,760 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/csr_template.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 02:41:07,760 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/cov_testlist.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 02:41:07,761 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/iss.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 02:41:07,761 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/simulator.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 02:41:07,761 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/base_testlist.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml 2024-01-19 02:41:07,761 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 02:41:07,761 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test_lib.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 02:41:07,762 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_cov_test.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 02:41:07,762 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 02:41:07,762 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 02:41:07,762 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_base_test.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 02:41:07,762 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_gen_tb_top.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test 2024-01-19 02:41:07,763 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github 2024-01-19 02:41:07,763 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github/workflows 2024-01-19 02:41:07,763 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github/workflows/metrics-regress.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github/workflows 2024-01-19 02:41:07,763 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-01-19 02:41:07,763 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/run.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-01-19 02:41:07,763 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/build-verible.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-01-19 02:41:07,764 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/exclude_filelist.f -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style 2024-01-19 02:41:07,764 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,764 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,764 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_privileged_common_seq.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,764 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_vector_cfg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,765 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,765 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,765 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64a_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,765 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_compressed_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,765 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32dc_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,766 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_floating_point_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,766 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32v_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,766 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32b_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,766 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_amo_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,766 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_b_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,767 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64f_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,767 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32d_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,767 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,767 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-01-19 02:41:07,768 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/riscv_custom_instr_enum.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-01-19 02:41:07,768 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/rv64x_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-01-19 02:41:07,768 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/riscv_custom_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-01-19 02:41:07,768 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/rv32x_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom 2024-01-19 02:41:07,768 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64i_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,768 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32m_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,769 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64m_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,769 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv128c_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,769 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32i_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,769 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64d_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,769 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_instr_cov.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,770 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32c_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,770 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32f_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,770 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64b_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,770 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_vector_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,770 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64c_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,771 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32fc_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,771 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32a_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa 2024-01-19 02:41:07,771 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_pmp_cfg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,771 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_callstack_gen.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,771 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_directed_instr_lib.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,772 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_illegal_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,772 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/dv_defines.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,772 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_amo_instr_lib.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,772 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_gen_config.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,773 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_entry.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,773 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_cover_group.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,773 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_debug_rom_gen.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,773 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_signature_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,773 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_sequence.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,774 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_load_store_instr_lib.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,774 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_asm_program_gen.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,774 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_defines.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,775 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_loop_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,775 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_reg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,775 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,775 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_privil_reg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,775 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_exception_cfg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,776 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_stream.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,776 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_data_page_gen.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,776 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_list.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,776 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_pseudo_instr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src 2024-01-19 02:41:07,776 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/setup.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,777 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/files.f -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,777 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,777 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/qrun_option.f -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,777 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/CONTRIBUTING.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,777 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/riviera_sim.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,778 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen 2024-01-19 02:41:07,778 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,778 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_data_page_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,778 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_defines.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,778 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-01-19 02:41:07,779 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_instr_cov_test.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-01-19 02:41:07,779 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_instr_base_test.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-01-19 02:41:07,779 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_rand_instr_test.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test 2024-01-19 02:41:07,779 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_cover_group.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,780 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,780 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32dc_instr.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,780 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32f_instr.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,780 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_compressed_instr.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,780 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_amo_instr.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,780 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32c_instr.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,781 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_b_instr.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,781 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32a_instr.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,781 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_cov_instr.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,781 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_instr.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,782 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32d_instr.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,782 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32m_instr.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,782 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32i_instr.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,782 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32b_instr.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,782 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32fc_instr.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,783 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_floating_point_instr.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa 2024-01-19 02:41:07,783 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_stream.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,783 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_asm_program_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,783 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_gen_config.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,784 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_privil_reg.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,784 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_directed_instr_lib.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,784 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_privileged_common_seq.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,784 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_signature_pkg.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,784 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_pseudo_instr.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,785 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_utils.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,785 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_reg.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,785 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_amo_instr_lib.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,785 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_load_store_instr_lib.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,785 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_illegal_instr.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,786 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_sequence.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,786 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_pkg.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src 2024-01-19 02:41:07,786 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target 2024-01-19 02:41:07,786 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts 2024-01-19 02:41:07,787 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/riscvOVPsim.ic -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts 2024-01-19 02:41:07,787 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/riscv_core_setting.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts 2024-01-19 02:41:07,787 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc 2024-01-19 02:41:07,787 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/riscvOVPsim.ic -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc 2024-01-19 02:41:07,787 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/riscv_core_setting.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc 2024-01-19 02:41:07,788 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb 2024-01-19 02:41:07,788 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/riscvOVPsim.ic -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb 2024-01-19 02:41:07,788 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/riscv_core_setting.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb 2024-01-19 02:41:07,788 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc 2024-01-19 02:41:07,788 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/riscvOVPsim.ic -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc 2024-01-19 02:41:07,788 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/riscv_core_setting.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc 2024-01-19 02:41:07,789 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i 2024-01-19 02:41:07,789 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/riscvOVPsim.ic -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i 2024-01-19 02:41:07,789 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/riscv_core_setting.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i 2024-01-19 02:41:07,789 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,789 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_data_page_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,790 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/utils.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,790 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,790 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_stream.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,790 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_base.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,790 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_asm_program_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,791 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_directed_instr_lib.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,791 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_callstack_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,791 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_rand_instr.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,791 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_load_store_instr_lib.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,792 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_sequence.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental 2024-01-19 02:41:07,792 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/run.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,792 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.metrics.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,792 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.travis.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,792 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/setup.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,793 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs 2024-01-19 02:41:07,793 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/make.bat -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs 2024-01-19 02:41:07,793 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs 2024-01-19 02:41:07,793 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,793 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/cmd_line_reference.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,794 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/trace_csv.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,794 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/class_reference.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,794 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/getting_started.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,794 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/extension_support.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,794 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/coverage_model.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,795 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/generator_flow.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,795 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/conf.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,795 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/customize_extend_generator.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,795 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/overview.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,795 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/handshake.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,796 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/index.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,796 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/appendix.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,796 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/end_to_end_simulation.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,796 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/configuration.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source 2024-01-19 02:41:07,796 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/MANIFEST.in -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,797 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/questa_sim.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,797 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/vcs.compile.option.f -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,797 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/LICENSE.txt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,797 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,797 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/instr_trace_compare.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,798 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/check-status -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,798 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/riscv_trace_csv.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,798 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/lib.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,798 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/sail_log_to_trace_csv.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,798 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/gen_csr_test.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,799 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/ovpsim_log_to_trace_csv.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,799 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/metrics-regress.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,799 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-01-19 02:41:07,799 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/instr_trace_compare.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-01-19 02:41:07,799 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/riscv_trace_csv.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-01-19 02:41:07,800 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/ovpsim_log_to_trace_csv.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-01-19 02:41:07,800 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/spike_log_to_trace_csv.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated 2024-01-19 02:41:07,800 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/link.ld -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,800 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/genMetricsList.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,800 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/spike_log_to_trace_csv.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,801 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/whisper_log_trace_csv.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts 2024-01-19 02:41:07,801 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-01-19 02:41:07,801 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_define.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-01-19 02:41:07,801 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_init.s -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-01-19 02:41:07,801 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_extension.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension 2024-01-19 02:41:07,802 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/cov.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,802 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.flake8 -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,802 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target 2024-01-19 02:41:07,802 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-01-19 02:41:07,802 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/riscvOVPsim.ic -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-01-19 02:41:07,803 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/testlist.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-01-19 02:41:07,803 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/riscv_core_setting.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts 2024-01-19 02:41:07,803 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-01-19 02:41:07,803 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/riscvOVPsim.ic -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-01-19 02:41:07,803 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/testlist.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-01-19 02:41:07,804 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/riscv_core_setting.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc 2024-01-19 02:41:07,804 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-01-19 02:41:07,804 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/riscvOVPsim.ic -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-01-19 02:41:07,804 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/testlist.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-01-19 02:41:07,804 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/riscv_core_setting.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb 2024-01-19 02:41:07,805 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc 2024-01-19 02:41:07,805 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc/testlist.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc 2024-01-19 02:41:07,805 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc/riscv_core_setting.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc 2024-01-19 02:41:07,805 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-01-19 02:41:07,805 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/riscvOVPsim.ic -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-01-19 02:41:07,805 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/testlist.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-01-19 02:41:07,806 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/riscv_core_setting.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc 2024-01-19 02:41:07,806 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-01-19 02:41:07,806 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/riscvOVPsim.ic -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-01-19 02:41:07,806 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/testlist.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-01-19 02:41:07,806 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/riscv_core_setting.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc 2024-01-19 02:41:07,807 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-01-19 02:41:07,807 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/riscvOVPsim.ic -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-01-19 02:41:07,807 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/testlist.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-01-19 02:41:07,807 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/riscv_core_setting.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb 2024-01-19 02:41:07,807 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-01-19 02:41:07,808 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/riscvOVPsim.ic -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-01-19 02:41:07,808 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/testlist.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-01-19 02:41:07,808 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/riscv_core_setting.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i 2024-01-19 02:41:07,808 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-01-19 02:41:07,808 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/riscvOVPsim.ic -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-01-19 02:41:07,809 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/testlist.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-01-19 02:41:07,809 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/riscv_core_setting.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32 2024-01-19 02:41:07,809 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-01-19 02:41:07,809 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/riscvOVPsim.ic -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-01-19 02:41:07,809 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/testlist.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-01-19 02:41:07,810 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/riscv_core_setting.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml 2024-01-19 02:41:07,810 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-01-19 02:41:07,810 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/riscvOVPsim.ic -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-01-19 02:41:07,810 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/testlist.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-01-19 02:41:07,810 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/riscv_core_setting.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv 2024-01-19 02:41:07,811 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/requirements.txt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv 2024-01-19 02:41:07,811 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip 2024-01-19 02:41:07,811 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint 2024-01-19 02:41:07,811 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/doc 2024-01-19 02:41:07,811 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/doc/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/doc 2024-01-19 02:41:07,811 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/comportable.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint 2024-01-19 02:41:07,812 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools 2024-01-19 02:41:07,812 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-01-19 02:41:07,812 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/comportable.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-01-19 02:41:07,812 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/parse-lint-report.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-01-19 02:41:07,812 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/ascentlint-config.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-01-19 02:41:07,813 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/common.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint 2024-01-19 02:41:07,813 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint 2024-01-19 02:41:07,813 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/parse-lint-report.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint 2024-01-19 02:41:07,813 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/rules.vbl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint 2024-01-19 02:41:07,813 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 02:41:07,814 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/ascentlint.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 02:41:07,814 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/common_lint_cfg.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 02:41:07,814 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/veriblelint.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 02:41:07,814 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/lint.mk -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 02:41:07,814 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/verilator.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim 2024-01-19 02:41:07,815 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-01-19 02:41:07,815 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/common.vlt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-01-19 02:41:07,815 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/parse-lint-report.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-01-19 02:41:07,815 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/comportable.vlt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator 2024-01-19 02:41:07,815 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/common.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint 2024-01-19 02:41:07,816 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util 2024-01-19 02:41:07,816 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,816 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/README.md.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,816 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/testplan.hjson.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,816 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/gen_agent.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,816 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/test_pkg.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,817 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/index.md.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,817 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_pkg.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,817 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,817 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/common_vseq.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,817 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,818 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_pkg.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,818 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_vseq.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,818 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/checklist.md.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,818 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/uvmdvgen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,819 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/scoreboard.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,819 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env.core.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,819 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/seq_list.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,819 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sva.core.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,819 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_cfg.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,819 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/host_driver.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,820 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,820 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sim.core.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,820 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/device_driver.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,820 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/monitor.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,820 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/driver.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,821 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/tb.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,821 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent.core.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,821 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/smoke_vseq.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,821 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_cov.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,821 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/item.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,822 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/vseq_list.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,822 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/test.core.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,822 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_seq.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,822 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_cov.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,822 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/virtual_sequencer.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,823 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/gen_env.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,823 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,823 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_cfg.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,823 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/if.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,823 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/cov_excl.el.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,824 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_test.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,824 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sim_cfg.hjson.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,824 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/bind.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen 2024-01-19 02:41:07,824 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,824 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/style.css -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,825 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LintCfg.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,825 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/testplanner.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,825 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/CfgJson.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,825 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/utils.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,825 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Testplan.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,826 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/sim_utils.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,826 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/FormalCfg.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,826 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SimResults.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,826 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SimCfg.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,827 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/doc 2024-01-19 02:41:07,827 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/doc/testplanner.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/doc 2024-01-19 02:41:07,827 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/dvsim.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,827 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LocalLauncher.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,827 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,828 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Scheduler.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,828 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Timer.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,828 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/CfgFactory.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,828 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/FlowCfg.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,828 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SynCfg.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,829 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/StatusPrinter.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,829 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LsfLauncher.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,829 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples 2024-01-19 02:41:07,829 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-01-19 02:41:07,829 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_sim_results.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-01-19 02:41:07,830 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/common_testplan.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-01-19 02:41:07,830 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_dv_doc.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-01-19 02:41:07,830 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_testplan.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner 2024-01-19 02:41:07,830 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/OneShotCfg.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,830 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LauncherFactory.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,831 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Deploy.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,831 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Modes.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,831 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,831 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/utils_test.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,831 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Launcher.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim 2024-01-19 02:41:07,832 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip 2024-01-19 02:41:07,832 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 02:41:07,832 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 02:41:07,832 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_buf.vlt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 02:41:07,832 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_buf.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 02:41:07,833 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_gating.vlt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 02:41:07,833 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 02:41:07,833 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.vlt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 02:41:07,833 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.vlt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 02:41:07,833 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 02:41:07,834 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_gating.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint 2024-01-19 02:41:07,834 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_buf.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 02:41:07,834 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_pad_attr.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 02:41:07,834 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_flop_en.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 02:41:07,834 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 02:41:07,835 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_xor2.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 02:41:07,835 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_mux2.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 02:41:07,835 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_buf.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 02:41:07,835 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_pad_attr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 02:41:07,835 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_flop.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 02:41:07,835 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_pad_wrapper.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 02:41:07,836 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_buf.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 02:41:07,836 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_flop_en.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 02:41:07,836 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_gating.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl 2024-01-19 02:41:07,836 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_mux2.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 02:41:07,836 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_flop.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 02:41:07,837 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_xor2.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 02:41:07,837 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_gating.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 02:41:07,837 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_buf.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 02:41:07,837 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_pad_wrapper.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx 2024-01-19 02:41:07,837 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,838 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom_adv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,838 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_buf.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,838 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,838 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim.vlt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,838 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop_en.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,839 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_arbiter.vlt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,839 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_gating.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,839 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,839 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flash.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,839 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_rom.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,840 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,840 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_usb_diff_rx.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,840 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_fifo.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,840 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_cipher_pkg.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,840 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_2p.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,841 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_mux2.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,841 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_buf.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,841 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop_2sync.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,841 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_div.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,841 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_otp.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,842 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p_adv.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,842 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_buf.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,842 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_pad_attr.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,842 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,842 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_inv.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,843 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_fifo.vlt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,843 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_pad_wrapper.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,843 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_subreg.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,843 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_arbiter.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,843 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_subreg.vlt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,844 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p_scr.vlt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint 2024-01-19 02:41:07,844 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,844 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_buf.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,844 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_dec.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,844 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom_pkg.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,845 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_arbiter.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,845 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop_en.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,845 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util_get_scramble_params.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,845 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,845 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_async_fpv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,845 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_async_fatal_fpv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,846 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_39_32_fpv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,846 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_22_16_fpv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,846 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_fifo_sync_fpv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,846 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_fatal_fpv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,846 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_ppc_fpv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,847 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_fpv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,847 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,847 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_esc_rxtx_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,847 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_39_32_bind_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,847 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_22_16_bind_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,848 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_72_64_bind_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,848 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fatal_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,848 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_fixed_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,849 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_bind_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,849 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_72_64_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,849 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_72_64_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,849 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_64_57_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,849 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_72_64_bind_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,850 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_22_16_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,850 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_tree_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,850 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_lfsr_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,850 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,850 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_39_32_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,851 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_22_16_bind_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,851 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_39_32_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,851 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fatal_bind_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,851 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_bind_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,851 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_64_57_bind_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,852 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_keccak_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,852 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_fifo_sync_bind_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,852 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_39_32_bind_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,852 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_28_22_bind_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,852 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,853 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,853 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_bind_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,853 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_28_22_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,853 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_ppc_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,853 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_22_16_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,854 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_packer_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,854 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_esc_rxtx_bind_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,854 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_fifo_sync_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb 2024-01-19 02:41:07,854 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_39_32_fpv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,854 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_lfsr_fpv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,855 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_packer_fpv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,855 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_tree_fpv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,855 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_72_64_fpv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,855 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_72_64_fpv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,855 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_28_22_fpv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,856 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_fixed_fpv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,856 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,856 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_alert_rxtx_async_assert_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,856 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_39_32_assert_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,856 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_22_16_assert_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,857 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_72_64_assert_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,857 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_64_57_assert_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,857 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_28_22_assert_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,857 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_22_16_assert_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,857 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_fifo_sync_assert_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,858 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_alert_rxtx_assert_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,858 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_esc_rxtx_assert_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,858 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_39_32_assert_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,858 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_72_64_assert_fpv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip 2024-01-19 02:41:07,858 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_22_16_fpv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,859 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_esc_rxtx_fpv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,859 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_64_57_fpv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,859 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_keccak_fpv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv 2024-01-19 02:41:07,859 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,859 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_alert.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,860 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pkg.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,860 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/primgen.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,860 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_adv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,860 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_xor2.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,860 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,861 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_msb_extend.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,861 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_gating.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,861 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util_memload.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,861 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_assert.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,861 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_wrapper_pkg.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,862 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,862 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util 2024-01-19 02:41:07,862 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util 2024-01-19 02:41:07,862 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-01-19 02:41:07,862 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/abstract_prim.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-01-19 02:41:07,863 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/prim_pkg.core.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-01-19 02:41:07,863 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/prim_pkg.sv.tpl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen 2024-01-19 02:41:07,863 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor 2024-01-19 02:41:07,863 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py.vendor.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor 2024-01-19 02:41:07,863 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py.lock.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor 2024-01-19 02:41:07,864 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 02:41:07,864 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/verible_verilog_syntax.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 02:41:07,864 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/print_modules.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 02:41:07,864 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/BUILD -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 02:41:07,864 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/print_tree.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 02:41:07,865 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/verible_verilog_syntax_test.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py 2024-01-19 02:41:07,865 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv 2024-01-19 02:41:07,865 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack 2024-01-19 02:41:07,865 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack 2024-01-19 02:41:07,865 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/rtl 2024-01-19 02:41:07,866 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/rtl/prim_sync_reqack_tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/rtl 2024-01-19 02:41:07,866 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/cpp 2024-01-19 02:41:07,866 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/cpp/prim_sync_reqack_tb.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/cpp 2024-01-19 02:41:07,866 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/prim_sync_reqack_tb.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack 2024-01-19 02:41:07,866 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,867 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_gf_mult.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,867 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_39_32_dec.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,867 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_diff_decode.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,867 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_28_22_dec.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,867 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_dummy_macros.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,868 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_39_32_enc.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,868 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_present.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,868 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_receiver.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,868 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_sender.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,868 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_reqack.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,869 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_msb_extend.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,869 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_22_16_enc.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,869 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_72_64_enc.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,869 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sram_arbiter.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,869 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_keccak.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,870 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_ext.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,870 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_reqack_data.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,870 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_fixed.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,870 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_packer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,870 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_slow_fast.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,871 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,871 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_sender.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,871 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_clock_gating_sync.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,871 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_gate_gen.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,871 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_dec.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,872 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lfsr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,872 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_async_adv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,872 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_prince.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,872 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_tree.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,873 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_receiver.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,873 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_scr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,873 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_memload.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,873 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_arb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,873 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_64_57_dec.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,874 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_22_16_enc.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,874 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_pad_wrapper_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,874 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_72_64_enc.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,874 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_get_scramble_params.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,874 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_edn_req.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,875 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_72_64_dec.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,875 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_otp_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,875 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_slicer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,875 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,875 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,876 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_clock_div.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,876 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subst_perm.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,876 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_sync.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,876 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_dom_and_2share.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,876 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_packer_fifo.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,877 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_rom_adv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,877 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_28_22_enc.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,877 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_filter.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,877 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_ppc.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,877 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_standard_macros.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,878 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_shadow.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,878 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_rom_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,878 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,878 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_yosys_macros.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,878 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_39_32_dec.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,879 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_pulse_sync.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,879 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_cipher_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,879 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_sender.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,879 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_22_16_dec.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,879 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_filter_ctr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,880 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_39_32_enc.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,880 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,880 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_intr_hw.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,880 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_fifo_async.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,880 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,881 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_multibit_sync.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,881 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_adv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,881 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,881 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_fifo_sync.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,882 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_72_64_dec.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,882 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_64_57_enc.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,882 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_22_16_dec.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,882 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_adv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,882 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl 2024-01-19 02:41:07,883 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_cipher_pkg.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,883 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_inv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,883 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_cipher.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,883 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,883 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_mux2.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,884 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_scr.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,884 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 02:41:07,884 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_keccak.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 02:41:07,884 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_prince.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 02:41:07,884 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_packer_fifo.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 02:41:07,885 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_present.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 02:41:07,885 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_lfsr.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 02:41:07,885 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_packer.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 02:41:07,885 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_flash.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc 2024-01-19 02:41:07,885 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_diff_decode.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,886 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_async_adv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,886 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_esc.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,886 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_attr.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,886 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_secded.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,886 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_adv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,887 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_usb_diff_rx.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,887 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_gf_mult.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,887 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_edn_req.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,887 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_div.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,887 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lfsr.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,888 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_fifo.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,888 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_pkg.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,888 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,888 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop_2sync.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,888 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_otp.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,889 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,889 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_multibit_sync.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,889 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_dom_and_2share.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,889 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_otp_pkg.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,889 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_subreg.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,890 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flash.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,890 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_pkg.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,890 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv 2024-01-19 02:41:07,890 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince 2024-01-19 02:41:07,890 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/prim_prince_sim.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince 2024-01-19 02:41:07,891 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/data 2024-01-19 02:41:07,891 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/data/prim_prince_cover.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/data 2024-01-19 02:41:07,891 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/tb 2024-01-19 02:41:07,891 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/tb/prim_prince_tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/tb 2024-01-19 02:41:07,891 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/prim_prince_sim_cfg.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince 2024-01-19 02:41:07,891 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 02:41:07,892 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_sim_opts.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 02:41:07,892 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 02:41:07,892 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/prince_ref.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 02:41:07,892 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_prince_ref.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 02:41:07,892 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 02:41:07,893 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince 2024-01-19 02:41:07,893 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present 2024-01-19 02:41:07,893 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/prim_present_sim.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present 2024-01-19 02:41:07,893 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/data 2024-01-19 02:41:07,893 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/data/prim_present_cover.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/data 2024-01-19 02:41:07,894 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/tb 2024-01-19 02:41:07,894 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/tb/prim_present_tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/tb 2024-01-19 02:41:07,894 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 02:41:07,894 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/comline.inc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 02:41:07,894 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/boxes.inc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 02:41:07,895 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 02:41:07,895 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/verbose.inc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 02:41:07,895 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/present.inc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 02:41:07,895 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 02:41:07,895 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present 2024-01-19 02:41:07,896 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/prim_present_sim_cfg.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present 2024-01-19 02:41:07,896 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr 2024-01-19 02:41:07,896 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data 2024-01-19 02:41:07,896 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data/prim_lfsr_cover.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data 2024-01-19 02:41:07,896 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data/prim_lfsr_cov_excl.el -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data 2024-01-19 02:41:07,897 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/tb 2024-01-19 02:41:07,897 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/tb/prim_lfsr_tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/tb 2024-01-19 02:41:07,897 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/prim_lfsr_sim.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr 2024-01-19 02:41:07,897 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/prim_lfsr_sim_cfg.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr 2024-01-19 02:41:07,897 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-01-19 02:41:07,898 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-01-19 02:41:07,898 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-01-19 02:41:07,898 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded 2024-01-19 02:41:07,898 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr 2024-01-19 02:41:07,898 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-01-19 02:41:07,898 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-01-19 02:41:07,899 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-01-19 02:41:07,899 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp 2024-01-19 02:41:07,899 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_wrapper.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,899 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_sender.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,899 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_sync.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim 2024-01-19 02:41:07,900 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,900 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,900 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_1p.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,900 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_otp.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,900 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_pad_wrapper.vlt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,901 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_gating.vlt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,901 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_rom.vlt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,901 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_gating.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,901 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_rom.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,901 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_flash.vlt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,902 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_flash.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,902 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_mux2.vlt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,902 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_1p.vlt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,902 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_2p.vlt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,902 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_2p.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,903 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_pad_wrapper.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,903 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_mux2.waiver -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,903 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_otp.vlt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint 2024-01-19 02:41:07,903 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_gating.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,903 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop_en.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,904 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_rom.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,904 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_ram_2p.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,904 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_inv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,904 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flash.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,904 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop_2sync.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,905 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,905 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_otp.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,905 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,905 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_xor2.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,905 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_rom.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,906 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,906 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_pad_attr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,906 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flash.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,906 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_otp.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,906 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_pad_wrapper.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,907 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_mux2.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,907 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_gating.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,907 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop_2sync.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,907 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_ram_2p.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,907 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_ram_1p.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,908 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_inv.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,908 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop_en.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,908 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_buf.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,908 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_buf.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,908 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_usb_diff_rx.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,909 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flash_bank.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl 2024-01-19 02:41:07,909 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_pad_attr.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,909 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_pad_wrapper.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,909 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_buf.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,909 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_xor2.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,910 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_usb_diff_rx.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,910 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_mux2.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,910 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_ram_1p.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,910 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_buf.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic 2024-01-19 02:41:07,910 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv 2024-01-19 02:41:07,911 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-01-19 02:41:07,911 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/riviera 2024-01-19 02:41:07,911 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/riviera/riviera_run.do -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/riviera 2024-01-19 02:41:07,911 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 02:41:07,911 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/exclude.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 02:41:07,911 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/cov_report.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 02:41:07,912 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/xcelium.ccf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 02:41:07,912 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/cov_merge.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 02:41:07,912 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/unr.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium 2024-01-19 02:41:07,912 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/sim.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-01-19 02:41:07,912 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-01-19 02:41:07,913 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/waves.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-01-19 02:41:07,913 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 02:41:07,913 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/xprop.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 02:41:07,913 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/common_cov_excl.el -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 02:41:07,913 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/cover_reg_top.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 02:41:07,914 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/cover.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 02:41:07,914 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/unr.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs 2024-01-19 02:41:07,914 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 02:41:07,914 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/xcelium.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 02:41:07,914 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 02:41:07,915 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/tl_access_tests.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 02:41:07,915 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/shadow_reg_errors_tests.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 02:41:07,915 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/alert_test.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 02:41:07,915 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/csr_tests.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 02:41:07,915 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/stress_tests.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 02:41:07,916 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/mem_tests.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 02:41:07,916 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/intr_test.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests 2024-01-19 02:41:07,916 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/common_sim_cfg.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 02:41:07,916 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/common_modes.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 02:41:07,916 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/dsim.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 02:41:07,917 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 02:41:07,917 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/shadow_reg_errors_testplan.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 02:41:07,917 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/mem_testplan.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 02:41:07,917 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/enable_reg_testplan.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 02:41:07,917 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/stress_all_with_reset_testplan.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 02:41:07,917 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/fpv_csr_testplan.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 02:41:07,918 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/tl_device_access_types_testplan.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 02:41:07,918 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/intr_test_testplan.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 02:41:07,918 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/csr_testplan.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 02:41:07,918 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/alert_test_testplan.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans 2024-01-19 02:41:07,918 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/vcs.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 02:41:07,919 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/riviera.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 02:41:07,919 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/sim.mk -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 02:41:07,919 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/fusesoc.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 02:41:07,919 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/verilator.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim 2024-01-19 02:41:07,919 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/common.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools 2024-01-19 02:41:07,920 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-01-19 02:41:07,920 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-01-19 02:41:07,920 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/ralgen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-01-19 02:41:07,920 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/ralgen.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen 2024-01-19 02:41:07,920 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv 2024-01-19 02:41:07,921 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-01-19 02:41:07,921 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-01-19 02:41:07,921 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-01-19 02:41:07,921 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-01-19 02:41:07,921 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model 2024-01-19 02:41:07,922 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-01-19 02:41:07,922 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_utils.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-01-19 02:41:07,922 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-01-19 02:41:07,922 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_utils_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-01-19 02:41:07,922 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_seq_lib.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils 2024-01-19 02:41:07,923 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 02:41:07,923 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 02:41:07,923 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 02:41:07,923 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_map.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 02:41:07,923 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/csr_excl_item.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 02:41:07,924 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_field.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 02:41:07,924 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_mem.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 02:41:07,924 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 02:41:07,924 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_block.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg 2024-01-19 02:41:07,924 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 02:41:07,925 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_ifs.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 02:41:07,925 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_if.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 02:41:07,925 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/index.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 02:41:07,925 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_if.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 02:41:07,925 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/common_ifs.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 02:41:07,926 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/clk_rst_if.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 02:41:07,926 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/clk_if.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs 2024-01-19 02:41:07,926 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,926 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_test_status_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,926 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,927 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_utils_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,927 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_fcov_macros.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,927 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_vif_wrap.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,927 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_report_server.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,927 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_macros.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,928 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_utils.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,928 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_fcov_macros.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,928 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_test_status.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,928 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_macros.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils 2024-01-19 02:41:07,928 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-01-19 02:41:07,929 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-01-19 02:41:07,929 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/str_utils_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-01-19 02:41:07,929 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/str_utils.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils 2024-01-19 02:41:07,929 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,929 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_seq.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,930 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env_cfg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,930 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_sequencer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,930 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_lib_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,930 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_virtual_sequencer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,930 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,931 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_driver.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,931 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_scoreboard.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,931 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env_cov.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,931 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent_cfg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,931 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent_cov.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,932 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_test.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,932 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_lib.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,932 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,932 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_monitor.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,932 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,933 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_vseq.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib 2024-01-19 02:41:07,933 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 02:41:07,933 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 02:41:07,933 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi_scrambled.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 02:41:07,933 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 02:41:07,934 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi_scrambled_opts.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 02:41:07,934 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,934 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/verilator_memutil.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,934 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ecc32_mem_area.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,934 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ranged_map.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,935 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/dpi_memutil.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,935 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/mem_area.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,935 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/mem_area.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,935 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/sv_scoped.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,935 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/scrambled_ecc32_mem_area.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,936 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ecc32_mem_area.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,936 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/verilator_memutil.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,936 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/scrambled_ecc32_mem_area.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,936 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/dpi_memutil.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,936 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/sv_scoped.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp 2024-01-19 02:41:07,937 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_verilator.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator 2024-01-19 02:41:07,937 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator 2024-01-19 02:41:07,937 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/simutil_verilator.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator 2024-01-19 02:41:07,937 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 02:41:07,937 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 02:41:07,938 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/sim_ctrl_extension.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 02:41:07,938 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilated_toplevel.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 02:41:07,938 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilated_toplevel.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 02:41:07,938 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp 2024-01-19 02:41:07,938 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv.vendor.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 02:41:07,939 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches 2024-01-19 02:41:07,939 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/eembc_coremark 2024-01-19 02:41:07,939 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/eembc_coremark/0001-no-minimum-run-time.patch -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/eembc_coremark 2024-01-19 02:41:07,939 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/google_riscv-dv 2024-01-19 02:41:07,939 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/google_riscv-dv/0001-csr-test-start-addr.patch -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/google_riscv-dv 2024-01-19 02:41:07,940 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip 2024-01-19 02:41:07,940 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_utils 2024-01-19 02:41:07,940 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_utils/0001-use-ibex-bus-params.patch -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_utils 2024-01-19 02:41:07,940 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_tools 2024-01-19 02:41:07,940 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_tools/0001-common-sim-cfg.patch -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_tools 2024-01-19 02:41:07,940 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_lib 2024-01-19 02:41:07,941 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_lib/0001-use-ibex-bus-params.patch -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_lib 2024-01-19 02:41:07,941 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark.lock.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 02:41:07,941 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip.lock.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/vendor 2024-01-19 02:41:07,941 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples 2024-01-19 02:41:07,941 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga 2024-01-19 02:41:07,942 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7 2024-01-19 02:41:07,942 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/data 2024-01-19 02:41:07,942 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/data/pins_artya7.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/data 2024-01-19 02:41:07,942 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7 2024-01-19 02:41:07,942 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util 2024-01-19 02:41:07,942 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util/vivado_hook_write_bitstream_pre.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util 2024-01-19 02:41:07,943 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util/vivado_setup_hooks.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util 2024-01-19 02:41:07,943 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/rtl 2024-01-19 02:41:07,943 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/rtl/top_artya7.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/rtl 2024-01-19 02:41:07,943 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/top_artya7.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/fpga/artya7 2024-01-19 02:41:07,943 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw 2024-01-19 02:41:07,944 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-01-19 02:41:07,944 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led/crt0.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-01-19 02:41:07,944 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led/link.ld -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-01-19 02:41:07,944 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led/led.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-01-19 02:41:07,944 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/led/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/led 2024-01-19 02:41:07,945 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw 2024-01-19 02:41:07,945 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system 2024-01-19 02:41:07,945 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test 2024-01-19 02:41:07,945 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test/hello_test.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test 2024-01-19 02:41:07,945 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test 2024-01-19 02:41:07,946 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 02:41:07,946 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_common.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 02:41:07,946 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/common.mk -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 02:41:07,946 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/crt0.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 02:41:07,946 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/link.ld -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 02:41:07,946 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_regs.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 02:41:07,947 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_common.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common 2024-01-19 02:41:07,947 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks 2024-01-19 02:41:07,947 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks 2024-01-19 02:41:07,947 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark 2024-01-19 02:41:07,947 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-01-19 02:41:07,948 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-01-19 02:41:07,948 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/ee_printf.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-01-19 02:41:07,948 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.mak -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-01-19 02:41:07,948 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex 2024-01-19 02:41:07,948 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark 2024-01-19 02:41:07,949 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 02:41:07,949 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 02:41:07,949 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint 2024-01-19 02:41:07,949 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint/verible_waiver.vbw -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint 2024-01-19 02:41:07,949 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint/verilator_waiver.vlt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system/lint 2024-01-19 02:41:07,949 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system_core.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 02:41:07,950 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 02:41:07,950 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system_main.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 02:41:07,950 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 02:41:07,950 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system/rtl 2024-01-19 02:41:07,950 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/rtl/ibex_simple_system.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system/rtl 2024-01-19 02:41:07,951 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 02:41:07,951 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/examples/simple_system/spike-simple-system.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/examples/simple_system 2024-01-19 02:41:07,951 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/tool_requirements.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,951 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/.svlint.toml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,951 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:07,952 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv 2024-01-19 02:41:07,952 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 02:41:07,952 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 02:41:07,952 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/spike_cosim.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 02:41:07,952 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/cosim.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 02:41:07,953 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 02:41:07,953 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/cosim.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 02:41:07,953 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 02:41:07,953 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 02:41:07,953 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cosim/spike_cosim.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cosim 2024-01-19 02:41:07,954 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-01-19 02:41:07,954 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/lint 2024-01-19 02:41:07,954 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/lint/verilator_waiver.vlt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/lint 2024-01-19 02:41:07,954 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-01-19 02:41:07,954 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/ibex_riscv_compliance.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-01-19 02:41:07,955 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl 2024-01-19 02:41:07,955 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl/riscv_testutil.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl 2024-01-19 02:41:07,955 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl/ibex_riscv_compliance.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl 2024-01-19 02:41:07,955 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/ibex_riscv_compliance.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/riscv_compliance 2024-01-19 02:41:07,955 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-01-19 02:41:07,956 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 02:41:07,956 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/simctrl.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 02:41:07,956 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/env_dpi.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 02:41:07,956 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/env_dpi.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 02:41:07,956 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_environment.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 02:41:07,956 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/simctrl.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 02:41:07,957 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_types.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 02:41:07,957 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_environment.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/env 2024-01-19 02:41:07,957 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/lint 2024-01-19 02:41:07,957 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/lint/verilator_waiver.vlt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/lint 2024-01-19 02:41:07,957 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-01-19 02:41:07,958 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/register_model.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-01-19 02:41:07,958 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/register_model.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-01-19 02:41:07,958 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/base_register.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-01-19 02:41:07,958 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/base_register.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/model 2024-01-19 02:41:07,958 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-01-19 02:41:07,959 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb_cs_registers.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-01-19 02:41:07,959 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb 2024-01-19 02:41:07,959 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb/tb_cs_registers.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb 2024-01-19 02:41:07,959 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb/tb_cs_registers.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb 2024-01-19 02:41:07,959 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-01-19 02:41:07,960 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/reset_driver.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-01-19 02:41:07,960 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/reset_driver.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-01-19 02:41:07,960 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/rst_dpi.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-01-19 02:41:07,960 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/rst_dpi.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver 2024-01-19 02:41:07,960 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers 2024-01-19 02:41:07,961 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 02:41:07,961 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/csr_listing.def -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 02:41:07,961 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_transaction.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 02:41:07,961 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_transaction.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 02:41:07,961 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/reg_dpi.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 02:41:07,961 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/reg_dpi.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 02:41:07,962 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_driver.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 02:41:07,962 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_driver.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver 2024-01-19 02:41:07,962 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator 2024-01-19 02:41:07,962 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 02:41:07,962 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 02:41:07,963 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_cosim_setup_check.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 02:41:07,963 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 02:41:07,963 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/util 2024-01-19 02:41:07,963 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/util/ibex_cosim_setup_check.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/util 2024-01-19 02:41:07,963 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/simple_system_cosim.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 02:41:07,963 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim_checker.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 02:41:07,964 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim_checker_bind.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim 2024-01-19 02:41:07,964 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount 2024-01-19 02:41:07,964 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/ibex_pcounts.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount 2024-01-19 02:41:07,964 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp 2024-01-19 02:41:07,964 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp/ibex_pcounts.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp 2024-01-19 02:41:07,965 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp/ibex_pcounts.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp 2024-01-19 02:41:07,965 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm 2024-01-19 02:41:07,965 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/common_project_cfg.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm 2024-01-19 02:41:07,965 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,965 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/run_rtl.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,966 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 02:41:07,966 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_csr_if.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 02:41:07,966 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 02:41:07,966 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 02:41:07,966 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env_cfg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 02:41:07,967 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_rvfi_if.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 02:41:07,967 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_instr_monitor_if.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 02:41:07,967 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_dut_probe_if.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 02:41:07,967 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_vseqr.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env 2024-01-19 02:41:07,967 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/yaml 2024-01-19 02:41:07,968 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/yaml/rtl_simulation.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/yaml 2024-01-19 02:41:07,968 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/list_tests.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,968 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 02:41:07,968 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_base_test.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 02:41:07,968 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_test_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 02:41:07,968 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_vseq.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 02:41:07,969 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_report_server.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 02:41:07,969 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_seq_lib.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 02:41:07,969 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_test_lib.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests 2024-01-19 02:41:07,969 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov 2024-01-19 02:41:07,970 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov/core_ibex_fcov_if.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov 2024-01-19 02:41:07,970 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov/core_ibex_fcov_bind.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov 2024-01-19 02:41:07,970 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,970 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tb 2024-01-19 02:41:07,970 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tb/core_ibex_tb_top.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tb 2024-01-19 02:41:07,971 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 02:41:07,971 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ibex_asm_program_gen.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 02:41:07,971 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/riscvOVPsim.ic -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 02:41:07,971 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ml_testlist.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 02:41:07,971 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/user_extension.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 02:41:07,972 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/testlist.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 02:41:07,972 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ibex_log_to_trace_csv.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 02:41:07,972 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/csr_description.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 02:41:07,972 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/cov_testlist.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 02:41:07,972 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/riscv_core_setting.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension 2024-01-19 02:41:07,973 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/test_run_result.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,973 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim_makefrag_gen.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,973 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/compare.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,974 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/test_entry.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,974 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/ibex_dv_cosim_dpi.f -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,974 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim_cmd.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,974 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/cover.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,974 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/vcs.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,975 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common 2024-01-19 02:41:07,975 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 02:41:07,975 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_seq_item.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 02:41:07,975 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_agent_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 02:41:07,975 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_request_agent.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 02:41:07,976 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_request_driver.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 02:41:07,976 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_if.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 02:41:07,976 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_monitor.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent 2024-01-19 02:41:07,976 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,976 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_monitor.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,977 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_agent.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,977 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_seq_lib.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,977 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_agent.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,977 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_request_agent.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,977 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_seq_item.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,977 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_agent_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,978 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_request_driver.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,978 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_sequencer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,978 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_driver.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,978 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent 2024-01-19 02:41:07,979 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,979 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_scoreboard.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,979 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_ifetch_monitor.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,979 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_rvfi_monitor.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,979 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/core_ibex_ifetch_if.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,979 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_rvfi_seq_item.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,980 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/spike_cosim_dpi.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,980 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/spike_cosim_dpi.svh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,980 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_agent_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,980 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_ifetch_seq_item.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,980 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_cfg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,981 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_agent.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent 2024-01-19 02:41:07,981 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-01-19 02:41:07,981 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_ram_1p.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-01-19 02:41:07,981 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_clock_gating.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-01-19 02:41:07,981 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-01-19 02:41:07,982 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_buf.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim 2024-01-19 02:41:07,982 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,982 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/collect_results.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,982 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/ibex_dv.f -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex 2024-01-19 02:41:07,983 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-01-19 02:41:07,983 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-01-19 02:41:07,983 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/bus_params_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-01-19 02:41:07,983 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/bus_params_pkg.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg 2024-01-19 02:41:07,983 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache 2024-01-19 02:41:07,983 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/data 2024-01-19 02:41:07,984 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/data/ibex_icache_testplan.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/data 2024-01-19 02:41:07,984 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc 2024-01-19 02:41:07,984 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc/tb.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc 2024-01-19 02:41:07,984 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc/ibex_icache_dv_plan.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc 2024-01-19 02:41:07,985 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-01-19 02:41:07,985 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_sim_cfg.hjson -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-01-19 02:41:07,985 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 02:41:07,985 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 02:41:07,985 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 02:41:07,985 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 02:41:07,986 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_cov.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 02:41:07,986 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_scoreboard.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 02:41:07,986 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,986 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_vseq_list.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,986 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_ecc_vseq.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,987 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_back_line_vseq.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,987 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_combo_vseq.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,987 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_caching_vseq.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,987 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_invalidation_vseq.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,987 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_many_errors_vseq.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,988 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_oldval_vseq.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,988 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_base_vseq.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,988 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_passthru_vseq.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,988 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_reset_vseq.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib 2024-01-19 02:41:07,988 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_virtual_sequencer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 02:41:07,989 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_cfg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env 2024-01-19 02:41:07,989 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,989 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_cfg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,989 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,989 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_monitor.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,990 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_sequencer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,990 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,990 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-01-19 02:41:07,990 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_back_line_seq.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-01-19 02:41:07,990 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_base_seq.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-01-19 02:41:07,991 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_seq_list.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib 2024-01-19 02:41:07,991 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_driver.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,991 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_protocol_checker.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,991 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_req_item.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,991 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_if.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,992 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_rsp_item.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,992 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_bus_item.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,992 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,992 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,992 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_cov.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent 2024-01-19 02:41:07,993 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-01-19 02:41:07,993 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_oldval_test.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-01-19 02:41:07,993 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_test.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-01-19 02:41:07,993 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_test_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-01-19 02:41:07,993 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_base_test.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests 2024-01-19 02:41:07,994 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb 2024-01-19 02:41:07,994 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb/tb.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb 2024-01-19 02:41:07,994 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb/ic_top.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb 2024-01-19 02:41:07,994 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,994 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_sequencer.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,995 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,995 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,995 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_driver.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,995 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_bus_item.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,995 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_resp_item.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,996 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_monitor.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,996 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_if.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,996 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_cov.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,996 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,996 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-01-19 02:41:07,996 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_resp_seq.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-01-19 02:41:07,997 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_seq_list.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-01-19 02:41:07,997 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_base_seq.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib 2024-01-19 02:41:07,997 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,997 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_req_item.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,997 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_cfg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,998 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_model.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,998 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_protocol_checker.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent 2024-01-19 02:41:07,998 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_sim.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-01-19 02:41:07,998 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-01-19 02:41:07,998 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/prim_badbit_ram_1p.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-01-19 02:41:07,999 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-01-19 02:41:07,999 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/prim_badbit_ram_1p.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit 2024-01-19 02:41:07,999 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:07,999 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_if.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:07,999 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_monitor.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:08,000 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_protocol_checker.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:08,000 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_item.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:08,000 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:08,000 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:08,000 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_driver.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:08,001 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent_pkg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:08,001 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib 2024-01-19 02:41:08,001 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib/ibex_icache_ecc_base_seq.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib 2024-01-19 02:41:08,001 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib/ibex_icache_ecc_seq_list.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib 2024-01-19 02:41:08,001 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_bus_item.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:08,002 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent_cfg.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:08,002 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent 2024-01-19 02:41:08,002 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv 2024-01-19 02:41:08,002 root INFO copying build/lib/pythondata_cpu_ibex/system_verilog/azure-pipelines.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex/system_verilog 2024-01-19 02:41:08,002 root INFO copying build/lib/pythondata_cpu_ibex/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex 2024-01-19 02:41:08,003 root INFO running install_egg_info 2024-01-19 02:41:08,007 root INFO Copying pythondata_cpu_ibex.egg-info to build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex-0.0.post2214-py3.11.egg-info 2024-01-19 02:41:08,008 root INFO running install_scripts 2024-01-19 02:41:08,010 wheel INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_ibex-0.0.post2214.dist-info/WHEEL 2024-01-19 02:41:08,010 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/pythondata-cpu-ibex-2023.12/dist/.tmp-8iatgbsg/pythondata_cpu_ibex-0.0.post2214-py3-none-any.whl' and adding 'build/bdist.linux-x86_64/wheel' to it 2024-01-19 02:41:08,010 wheel INFO adding 'pythondata_cpu_ibex/__init__.py' 2024-01-19 02:41:08,011 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/.clang-format' 2024-01-19 02:41:08,011 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/.gitignore' 2024-01-19 02:41:08,011 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/.svlint.toml' 2024-01-19 02:41:08,011 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/CONTRIBUTING.md' 2024-01-19 02:41:08,012 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/CREDITS.md' 2024-01-19 02:41:08,012 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/LICENSE' 2024-01-19 02:41:08,012 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/Makefile' 2024-01-19 02:41:08,012 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/README.md' 2024-01-19 02:41:08,013 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/azure-pipelines.yml' 2024-01-19 02:41:08,013 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/check_tool_requirements.core' 2024-01-19 02:41:08,013 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_configs.yaml' 2024-01-19 02:41:08,013 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_core.core' 2024-01-19 02:41:08,014 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_icache.core' 2024-01-19 02:41:08,014 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_multdiv.core' 2024-01-19 02:41:08,014 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_pkg.core' 2024-01-19 02:41:08,014 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_top.core' 2024-01-19 02:41:08,014 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_top_tracing.core' 2024-01-19 02:41:08,015 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ibex_tracer.core' 2024-01-19 02:41:08,015 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/python-requirements.txt' 2024-01-19 02:41:08,015 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/src_files.yml' 2024-01-19 02:41:08,015 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/tool_requirements.py' 2024-01-19 02:41:08,016 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE/bug.md' 2024-01-19 02:41:08,016 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/.github/ISSUE_TEMPLATE/question.md' 2024-01-19 02:41:08,016 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/.github/workflows/pr_lint_review.yml' 2024-01-19 02:41:08,016 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/.github/workflows/pr_trigger.yml' 2024-01-19 02:41:08,016 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ci/azp-private.yml' 2024-01-19 02:41:08,017 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ci/ibex-rtl-ci-steps.yml' 2024-01-19 02:41:08,017 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ci/install-build-deps.sh' 2024-01-19 02:41:08,017 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ci/vars.yml' 2024-01-19 02:41:08,017 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/ci/vars_to_logging_cmd.py' 2024-01-19 02:41:08,018 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/.gitignore' 2024-01-19 02:41:08,018 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/Makefile' 2024-01-19 02:41:08,018 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/conf.py' 2024-01-19 02:41:08,018 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/index.rst' 2024-01-19 02:41:08,018 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/make.bat' 2024-01-19 02:41:08,019 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/requirements.txt' 2024-01-19 02:41:08,019 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/01_overview/compliance.rst' 2024-01-19 02:41:08,019 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/01_overview/index.rst' 2024-01-19 02:41:08,019 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/01_overview/licensing.rst' 2024-01-19 02:41:08,020 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/01_overview/targets.rst' 2024-01-19 02:41:08,020 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/02_user/examples.rst' 2024-01-19 02:41:08,020 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/02_user/getting_started.rst' 2024-01-19 02:41:08,020 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/02_user/index.rst' 2024-01-19 02:41:08,020 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/02_user/integration.rst' 2024-01-19 02:41:08,021 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/02_user/system_requirements.rst' 2024-01-19 02:41:08,021 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/cosim.rst' 2024-01-19 02:41:08,021 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/cs_registers.rst' 2024-01-19 02:41:08,022 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/debug.rst' 2024-01-19 02:41:08,022 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/exception_interrupts.rst' 2024-01-19 02:41:08,022 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/history.rst' 2024-01-19 02:41:08,022 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/icache.rst' 2024-01-19 02:41:08,023 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/index.rst' 2024-01-19 02:41:08,023 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/instruction_decode_execute.rst' 2024-01-19 02:41:08,023 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/instruction_fetch.rst' 2024-01-19 02:41:08,023 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/load_store_unit.rst' 2024-01-19 02:41:08,024 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/performance_counters.rst' 2024-01-19 02:41:08,024 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/pipeline_details.rst' 2024-01-19 02:41:08,024 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/pmp.rst' 2024-01-19 02:41:08,024 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/register_file.rst' 2024-01-19 02:41:08,025 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/rvfi.rst' 2024-01-19 02:41:08,025 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/security.rst' 2024-01-19 02:41:08,025 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/tracer.rst' 2024-01-19 02:41:08,025 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/verification.rst' 2024-01-19 02:41:08,026 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/blockdiagram.svg' 2024-01-19 02:41:08,027 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/de_ex_stage.svg' 2024-01-19 02:41:08,027 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/dv-flow.png' 2024-01-19 02:41:08,028 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/icache_block.svg' 2024-01-19 02:41:08,028 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/icache_mux.svg' 2024-01-19 02:41:08,029 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/if_stage.svg' 2024-01-19 02:41:08,029 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/logo.svg' 2024-01-19 02:41:08,031 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/03_reference/images/tb.svg' 2024-01-19 02:41:08,035 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/04_developer/concierge.rst' 2024-01-19 02:41:08,035 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/04_developer/index.rst' 2024-01-19 02:41:08,036 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/doc/_static/theme_overrides.css' 2024-01-19 02:41:08,036 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/cosim.core' 2024-01-19 02:41:08,036 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/cosim.h' 2024-01-19 02:41:08,036 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.cc' 2024-01-19 02:41:08,036 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.core' 2024-01-19 02:41:08,037 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.h' 2024-01-19 02:41:08,037 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/cosim_dpi.svh' 2024-01-19 02:41:08,037 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/spike_cosim.cc' 2024-01-19 02:41:08,037 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cosim/spike_cosim.h' 2024-01-19 02:41:08,038 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/Makefile' 2024-01-19 02:41:08,038 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/README.md' 2024-01-19 02:41:08,038 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb_cs_registers.core' 2024-01-19 02:41:08,038 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/env_dpi.cc' 2024-01-19 02:41:08,039 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/env_dpi.sv' 2024-01-19 02:41:08,039 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_environment.cc' 2024-01-19 02:41:08,039 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_environment.h' 2024-01-19 02:41:08,039 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/register_types.h' 2024-01-19 02:41:08,039 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/simctrl.cc' 2024-01-19 02:41:08,040 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/env/simctrl.h' 2024-01-19 02:41:08,040 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/lint/verilator_waiver.vlt' 2024-01-19 02:41:08,040 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/base_register.cc' 2024-01-19 02:41:08,040 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/base_register.h' 2024-01-19 02:41:08,041 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/register_model.cc' 2024-01-19 02:41:08,041 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/model/register_model.h' 2024-01-19 02:41:08,041 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/csr_listing.def' 2024-01-19 02:41:08,041 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/reg_dpi.cc' 2024-01-19 02:41:08,042 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/reg_dpi.sv' 2024-01-19 02:41:08,042 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_driver.cc' 2024-01-19 02:41:08,042 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_driver.h' 2024-01-19 02:41:08,042 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_transaction.cc' 2024-01-19 02:41:08,042 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/reg_driver/register_transaction.h' 2024-01-19 02:41:08,043 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/reset_driver.cc' 2024-01-19 02:41:08,043 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/reset_driver.h' 2024-01-19 02:41:08,043 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/rst_dpi.cc' 2024-01-19 02:41:08,043 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/rst_driver/rst_dpi.sv' 2024-01-19 02:41:08,043 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb/tb_cs_registers.cc' 2024-01-19 02:41:08,044 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/cs_registers/tb/tb_cs_registers.sv' 2024-01-19 02:41:08,044 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/README.md' 2024-01-19 02:41:08,044 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/ibex_riscv_compliance.cc' 2024-01-19 02:41:08,044 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/ibex_riscv_compliance.core' 2024-01-19 02:41:08,045 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/lint/verilator_waiver.vlt' 2024-01-19 02:41:08,045 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl/ibex_riscv_compliance.sv' 2024-01-19 02:41:08,045 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/riscv_compliance/rtl/riscv_testutil.sv' 2024-01-19 02:41:08,045 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/common_project_cfg.hjson' 2024-01-19 02:41:08,046 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/README.md' 2024-01-19 02:41:08,046 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/bus_params_pkg.core' 2024-01-19 02:41:08,046 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/bus_params_pkg/bus_params_pkg.sv' 2024-01-19 02:41:08,046 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/Makefile' 2024-01-19 02:41:08,047 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/collect_results.py' 2024-01-19 02:41:08,047 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/compare.py' 2024-01-19 02:41:08,047 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/cover.cfg' 2024-01-19 02:41:08,047 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/ibex_dv.f' 2024-01-19 02:41:08,048 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/ibex_dv_cosim_dpi.f' 2024-01-19 02:41:08,048 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/list_tests.py' 2024-01-19 02:41:08,048 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/run_rtl.py' 2024-01-19 02:41:08,048 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim.py' 2024-01-19 02:41:08,049 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim_cmd.py' 2024-01-19 02:41:08,049 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/sim_makefrag_gen.py' 2024-01-19 02:41:08,049 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/test_entry.py' 2024-01-19 02:41:08,049 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/test_run_result.py' 2024-01-19 02:41:08,050 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/vcs.tcl' 2024-01-19 02:41:08,050 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/core_ibex_ifetch_if.sv' 2024-01-19 02:41:08,050 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_agent.sv' 2024-01-19 02:41:08,050 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_agent_pkg.sv' 2024-01-19 02:41:08,050 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_cfg.sv' 2024-01-19 02:41:08,051 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_cosim_scoreboard.sv' 2024-01-19 02:41:08,051 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_ifetch_monitor.sv' 2024-01-19 02:41:08,051 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_ifetch_seq_item.sv' 2024-01-19 02:41:08,052 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_rvfi_monitor.sv' 2024-01-19 02:41:08,052 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/ibex_rvfi_seq_item.sv' 2024-01-19 02:41:08,052 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/spike_cosim_dpi.cc' 2024-01-19 02:41:08,052 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_cosim_agent/spike_cosim_dpi.svh' 2024-01-19 02:41:08,052 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf.sv' 2024-01-19 02:41:08,053 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_agent.core' 2024-01-19 02:41:08,053 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_agent_pkg.sv' 2024-01-19 02:41:08,053 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_monitor.sv' 2024-01-19 02:41:08,053 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_request_agent.sv' 2024-01-19 02:41:08,053 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_request_driver.sv' 2024-01-19 02:41:08,054 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_agent.sv' 2024-01-19 02:41:08,054 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_driver.sv' 2024-01-19 02:41:08,054 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_seq_lib.sv' 2024-01-19 02:41:08,054 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_response_sequencer.sv' 2024-01-19 02:41:08,055 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/ibex_mem_intf_agent/ibex_mem_intf_seq_item.sv' 2024-01-19 02:41:08,055 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_agent_pkg.sv' 2024-01-19 02:41:08,055 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_if.sv' 2024-01-19 02:41:08,055 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_monitor.sv' 2024-01-19 02:41:08,055 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_request_agent.sv' 2024-01-19 02:41:08,056 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_request_driver.sv' 2024-01-19 02:41:08,056 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/irq_agent/irq_seq_item.sv' 2024-01-19 02:41:08,056 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_buf.sv' 2024-01-19 02:41:08,056 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_clock_gating.sv' 2024-01-19 02:41:08,056 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_pkg.sv' 2024-01-19 02:41:08,057 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/common/prim/prim_ram_1p.sv' 2024-01-19 02:41:08,057 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_csr_if.sv' 2024-01-19 02:41:08,057 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_dut_probe_if.sv' 2024-01-19 02:41:08,057 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env.sv' 2024-01-19 02:41:08,058 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env_cfg.sv' 2024-01-19 02:41:08,058 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_env_pkg.sv' 2024-01-19 02:41:08,058 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_instr_monitor_if.sv' 2024-01-19 02:41:08,058 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_rvfi_if.sv' 2024-01-19 02:41:08,058 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/env/core_ibex_vseqr.sv' 2024-01-19 02:41:08,059 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov/core_ibex_fcov_bind.sv' 2024-01-19 02:41:08,059 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/fcov/core_ibex_fcov_if.sv' 2024-01-19 02:41:08,059 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/cov_testlist.yaml' 2024-01-19 02:41:08,059 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/csr_description.yaml' 2024-01-19 02:41:08,060 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ibex_asm_program_gen.sv' 2024-01-19 02:41:08,060 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ibex_log_to_trace_csv.py' 2024-01-19 02:41:08,060 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/ml_testlist.yaml' 2024-01-19 02:41:08,060 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/riscvOVPsim.ic' 2024-01-19 02:41:08,061 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/riscv_core_setting.sv' 2024-01-19 02:41:08,061 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/testlist.yaml' 2024-01-19 02:41:08,061 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/user_extension.svh' 2024-01-19 02:41:08,062 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tb/core_ibex_tb_top.sv' 2024-01-19 02:41:08,062 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_base_test.sv' 2024-01-19 02:41:08,062 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_report_server.sv' 2024-01-19 02:41:08,062 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_seq_lib.sv' 2024-01-19 02:41:08,063 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_test_lib.sv' 2024-01-19 02:41:08,063 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_test_pkg.sv' 2024-01-19 02:41:08,063 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/tests/core_ibex_vseq.sv' 2024-01-19 02:41:08,064 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/yaml/rtl_simulation.yaml' 2024-01-19 02:41:08,064 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/data/ibex_icache_testplan.hjson' 2024-01-19 02:41:08,064 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc/ibex_icache_dv_plan.md' 2024-01-19 02:41:08,065 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/doc/tb.svg' 2024-01-19 02:41:08,065 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/Makefile' 2024-01-19 02:41:08,065 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_sim.core' 2024-01-19 02:41:08,065 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_sim_cfg.hjson' 2024-01-19 02:41:08,066 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env.core' 2024-01-19 02:41:08,066 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env.sv' 2024-01-19 02:41:08,066 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_cfg.sv' 2024-01-19 02:41:08,066 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_cov.sv' 2024-01-19 02:41:08,067 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_env_pkg.sv' 2024-01-19 02:41:08,067 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_scoreboard.sv' 2024-01-19 02:41:08,067 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/ibex_icache_virtual_sequencer.sv' 2024-01-19 02:41:08,067 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_back_line_vseq.sv' 2024-01-19 02:41:08,068 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_base_vseq.sv' 2024-01-19 02:41:08,068 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_caching_vseq.sv' 2024-01-19 02:41:08,068 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_combo_vseq.sv' 2024-01-19 02:41:08,068 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_ecc_vseq.sv' 2024-01-19 02:41:08,069 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_invalidation_vseq.sv' 2024-01-19 02:41:08,069 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_many_errors_vseq.sv' 2024-01-19 02:41:08,069 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_oldval_vseq.sv' 2024-01-19 02:41:08,069 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_passthru_vseq.sv' 2024-01-19 02:41:08,069 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_reset_vseq.sv' 2024-01-19 02:41:08,070 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/env/seq_lib/ibex_icache_vseq_list.sv' 2024-01-19 02:41:08,070 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/README.md' 2024-01-19 02:41:08,070 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent.core' 2024-01-19 02:41:08,070 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent.sv' 2024-01-19 02:41:08,070 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_cfg.sv' 2024-01-19 02:41:08,071 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_cov.sv' 2024-01-19 02:41:08,071 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_agent_pkg.sv' 2024-01-19 02:41:08,071 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_bus_item.sv' 2024-01-19 02:41:08,071 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_driver.sv' 2024-01-19 02:41:08,072 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_if.sv' 2024-01-19 02:41:08,072 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_monitor.sv' 2024-01-19 02:41:08,072 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_protocol_checker.sv' 2024-01-19 02:41:08,072 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_req_item.sv' 2024-01-19 02:41:08,072 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_rsp_item.sv' 2024-01-19 02:41:08,073 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/ibex_icache_core_sequencer.sv' 2024-01-19 02:41:08,073 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_back_line_seq.sv' 2024-01-19 02:41:08,073 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_base_seq.sv' 2024-01-19 02:41:08,073 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_core_agent/seq_lib/ibex_icache_core_seq_list.sv' 2024-01-19 02:41:08,074 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/README.md' 2024-01-19 02:41:08,074 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent.core' 2024-01-19 02:41:08,074 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent.sv' 2024-01-19 02:41:08,074 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent_cfg.sv' 2024-01-19 02:41:08,075 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_agent_pkg.sv' 2024-01-19 02:41:08,075 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_bus_item.sv' 2024-01-19 02:41:08,075 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_driver.sv' 2024-01-19 02:41:08,075 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_if.sv' 2024-01-19 02:41:08,075 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_item.sv' 2024-01-19 02:41:08,076 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_monitor.sv' 2024-01-19 02:41:08,076 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/ibex_icache_ecc_protocol_checker.sv' 2024-01-19 02:41:08,076 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib/ibex_icache_ecc_base_seq.sv' 2024-01-19 02:41:08,076 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_ecc_agent/seq_lib/ibex_icache_ecc_seq_list.sv' 2024-01-19 02:41:08,076 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/README.md' 2024-01-19 02:41:08,077 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent.core' 2024-01-19 02:41:08,077 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent.sv' 2024-01-19 02:41:08,077 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_cfg.sv' 2024-01-19 02:41:08,077 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_cov.sv' 2024-01-19 02:41:08,078 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_agent_pkg.sv' 2024-01-19 02:41:08,078 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_bus_item.sv' 2024-01-19 02:41:08,078 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_driver.sv' 2024-01-19 02:41:08,078 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_if.sv' 2024-01-19 02:41:08,078 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_model.sv' 2024-01-19 02:41:08,079 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_monitor.sv' 2024-01-19 02:41:08,079 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_protocol_checker.sv' 2024-01-19 02:41:08,079 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_req_item.sv' 2024-01-19 02:41:08,079 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_resp_item.sv' 2024-01-19 02:41:08,080 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/ibex_icache_mem_sequencer.sv' 2024-01-19 02:41:08,080 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_base_seq.sv' 2024-01-19 02:41:08,080 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_resp_seq.sv' 2024-01-19 02:41:08,080 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/ibex_icache_mem_agent/seq_lib/ibex_icache_mem_seq_list.sv' 2024-01-19 02:41:08,080 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/README.md' 2024-01-19 02:41:08,081 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/prim_badbit_ram_1p.core' 2024-01-19 02:41:08,081 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/prim_badbit/prim_badbit_ram_1p.sv' 2024-01-19 02:41:08,081 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb/ic_top.sv' 2024-01-19 02:41:08,081 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tb/tb.sv' 2024-01-19 02:41:08,082 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_base_test.sv' 2024-01-19 02:41:08,082 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_oldval_test.sv' 2024-01-19 02:41:08,082 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_test.core' 2024-01-19 02:41:08,082 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/uvm/icache/dv/tests/ibex_icache_test_pkg.sv' 2024-01-19 02:41:08,083 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/ibex_pcounts.core' 2024-01-19 02:41:08,083 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp/ibex_pcounts.cc' 2024-01-19 02:41:08,083 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/pcount/cpp/ibex_pcounts.h' 2024-01-19 02:41:08,083 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/README.md' 2024-01-19 02:41:08,083 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_cosim_setup_check.core' 2024-01-19 02:41:08,084 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim.core' 2024-01-19 02:41:08,084 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim_checker.sv' 2024-01-19 02:41:08,084 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/ibex_simple_system_cosim_checker_bind.sv' 2024-01-19 02:41:08,084 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/simple_system_cosim.cc' 2024-01-19 02:41:08,085 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/dv/verilator/simple_system_cosim/util/ibex_cosim_setup_check.sh' 2024-01-19 02:41:08,085 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/README.md' 2024-01-19 02:41:08,085 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/top_artya7.core' 2024-01-19 02:41:08,085 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/data/pins_artya7.xdc' 2024-01-19 02:41:08,086 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/rtl/top_artya7.sv' 2024-01-19 02:41:08,086 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util/vivado_hook_write_bitstream_pre.tcl' 2024-01-19 02:41:08,086 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/fpga/artya7/util/vivado_setup_hooks.tcl' 2024-01-19 02:41:08,086 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/README.md' 2024-01-19 02:41:08,087 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.cc' 2024-01-19 02:41:08,087 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.core' 2024-01-19 02:41:08,087 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system.h' 2024-01-19 02:41:08,087 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system_core.core' 2024-01-19 02:41:08,088 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/ibex_simple_system_main.cc' 2024-01-19 02:41:08,088 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/spike-simple-system.sh' 2024-01-19 02:41:08,088 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/lint/verible_waiver.vbw' 2024-01-19 02:41:08,088 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/lint/verilator_waiver.vlt' 2024-01-19 02:41:08,088 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/simple_system/rtl/ibex_simple_system.sv' 2024-01-19 02:41:08,089 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/.gitignore' 2024-01-19 02:41:08,089 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/README.md' 2024-01-19 02:41:08,089 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/Makefile' 2024-01-19 02:41:08,090 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.c' 2024-01-19 02:41:08,090 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.h' 2024-01-19 02:41:08,090 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/core_portme.mak' 2024-01-19 02:41:08,090 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/benchmarks/coremark/ibex/ee_printf.c' 2024-01-19 02:41:08,091 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/led/Makefile' 2024-01-19 02:41:08,091 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/led/crt0.S' 2024-01-19 02:41:08,091 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/led/led.c' 2024-01-19 02:41:08,091 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/led/link.ld' 2024-01-19 02:41:08,091 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/common.mk' 2024-01-19 02:41:08,092 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/crt0.S' 2024-01-19 02:41:08,092 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/link.ld' 2024-01-19 02:41:08,092 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_common.c' 2024-01-19 02:41:08,092 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_common.h' 2024-01-19 02:41:08,093 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/common/simple_system_regs.h' 2024-01-19 02:41:08,093 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test/Makefile' 2024-01-19 02:41:08,093 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/examples/sw/simple_system/hello_test/hello_test.c' 2024-01-19 02:41:08,093 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/.gitignore' 2024-01-19 02:41:08,093 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/Makefile' 2024-01-19 02:41:08,094 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_div.svh' 2024-01-19 02:41:08,094 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_mulh.svh' 2024-01-19 02:41:08,094 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_mull.svh' 2024-01-19 02:41:08,094 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_fast_rem.svh' 2024-01-19 02:41:08,094 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_div.svh' 2024-01-19 02:41:08,095 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_mulh.svh' 2024-01-19 02:41:08,095 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_mull.svh' 2024-01-19 02:41:08,095 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_single_rem.svh' 2024-01-19 02:41:08,095 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_div.svh' 2024-01-19 02:41:08,095 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_mulh.svh' 2024-01-19 02:41:08,096 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_mull.svh' 2024-01-19 02:41:08,096 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/check_slow_rem.svh' 2024-01-19 02:41:08,096 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/formal_tb.sv' 2024-01-19 02:41:08,096 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/formal_tb_frag.svh' 2024-01-19 02:41:08,096 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/ibex_data_ind_timing.core' 2024-01-19 02:41:08,097 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_div.svh' 2024-01-19 02:41:08,097 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_mulh.svh' 2024-01-19 02:41:08,097 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_mull.svh' 2024-01-19 02:41:08,097 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/operation_rem.svh' 2024-01-19 02:41:08,097 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/data_ind_timing/run.sby.j2' 2024-01-19 02:41:08,098 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/icache/Makefile' 2024-01-19 02:41:08,098 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/icache/formal_tb.sv' 2024-01-19 02:41:08,099 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/icache/formal_tb_frag.svh' 2024-01-19 02:41:08,099 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/icache/ibex_icache_fpv.core' 2024-01-19 02:41:08,099 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/icache/run.sby.j2' 2024-01-19 02:41:08,099 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/riscv-formal/Makefile' 2024-01-19 02:41:08,100 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/formal/riscv-formal/README.md' 2024-01-19 02:41:08,100 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/lint/verible_waiver.vbw' 2024-01-19 02:41:08,100 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/lint/verilator_waiver.vlt' 2024-01-19 02:41:08,101 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_alu.sv' 2024-01-19 02:41:08,101 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_branch_predict.sv' 2024-01-19 02:41:08,101 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_compressed_decoder.sv' 2024-01-19 02:41:08,102 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_controller.sv' 2024-01-19 02:41:08,102 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_core.f' 2024-01-19 02:41:08,102 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_core.sv' 2024-01-19 02:41:08,103 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_counter.sv' 2024-01-19 02:41:08,103 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_cs_registers.sv' 2024-01-19 02:41:08,104 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_csr.sv' 2024-01-19 02:41:08,104 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_decoder.sv' 2024-01-19 02:41:08,104 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_dummy_instr.sv' 2024-01-19 02:41:08,104 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_ex_block.sv' 2024-01-19 02:41:08,105 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_fetch_fifo.sv' 2024-01-19 02:41:08,105 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_icache.sv' 2024-01-19 02:41:08,106 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_id_stage.sv' 2024-01-19 02:41:08,106 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_if_stage.sv' 2024-01-19 02:41:08,106 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_load_store_unit.sv' 2024-01-19 02:41:08,107 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_lockstep.sv' 2024-01-19 02:41:08,107 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_multdiv_fast.sv' 2024-01-19 02:41:08,107 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_multdiv_slow.sv' 2024-01-19 02:41:08,108 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_pkg.sv' 2024-01-19 02:41:08,108 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_pmp.sv' 2024-01-19 02:41:08,108 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_prefetch_buffer.sv' 2024-01-19 02:41:08,109 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_ff.sv' 2024-01-19 02:41:08,109 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_fpga.sv' 2024-01-19 02:41:08,109 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_register_file_latch.sv' 2024-01-19 02:41:08,109 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_top.sv' 2024-01-19 02:41:08,110 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_top_tracing.sv' 2024-01-19 02:41:08,110 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_tracer.sv' 2024-01-19 02:41:08,110 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_tracer_pkg.sv' 2024-01-19 02:41:08,111 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/rtl/ibex_wb_stage.sv' 2024-01-19 02:41:08,111 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/fpga_xilinx.core' 2024-01-19 02:41:08,111 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/sim_shared.core' 2024-01-19 02:41:08,111 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/rtl/bus.sv' 2024-01-19 02:41:08,112 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/rtl/ram_1p.sv' 2024-01-19 02:41:08,112 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/rtl/ram_2p.sv' 2024-01-19 02:41:08,112 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/rtl/timer.sv' 2024-01-19 02:41:08,112 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/rtl/fpga/xilinx/clkgen_xil7series.sv' 2024-01-19 02:41:08,113 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/shared/rtl/sim/simulator_ctrl.sv' 2024-01-19 02:41:08,113 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/README.md' 2024-01-19 02:41:08,113 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/ibex_top.nangate.sdc' 2024-01-19 02:41:08,113 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/ibex_top_abc.nangate.sdc' 2024-01-19 02:41:08,114 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/ibex_top_lr_synth_conf.tcl' 2024-01-19 02:41:08,114 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/lec_sv2v.do' 2024-01-19 02:41:08,114 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/lec_sv2v.sh' 2024-01-19 02:41:08,114 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/syn_setup.example.sh' 2024-01-19 02:41:08,114 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/syn_yosys.sh' 2024-01-19 02:41:08,115 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/translate_timing_rpts.sh' 2024-01-19 02:41:08,115 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/python/build_translated_names.py' 2024-01-19 02:41:08,115 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/python/flow_utils.py' 2024-01-19 02:41:08,115 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/python/get_kge.py' 2024-01-19 02:41:08,116 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/python/translate_timing_csv.py' 2024-01-19 02:41:08,116 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/rtl/latch_map.v' 2024-01-19 02:41:08,116 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/rtl/prim_clock_gating.v' 2024-01-19 02:41:08,116 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/flow_utils.tcl' 2024-01-19 02:41:08,116 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/lr_synth_flow_var_setup.tcl' 2024-01-19 02:41:08,117 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/sta_common.tcl' 2024-01-19 02:41:08,117 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/sta_open_design.tcl' 2024-01-19 02:41:08,117 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/sta_run_reports.tcl' 2024-01-19 02:41:08,117 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/sta_utils.tcl' 2024-01-19 02:41:08,117 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_common.tcl' 2024-01-19 02:41:08,118 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_post_synth.tcl' 2024-01-19 02:41:08,118 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_pre_map.tcl' 2024-01-19 02:41:08,118 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/syn/tcl/yosys_run_synth.tcl' 2024-01-19 02:41:08,118 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/util/Makefile' 2024-01-19 02:41:08,119 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/util/check_tool_requirements.py' 2024-01-19 02:41:08,119 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/util/ibex_config.py' 2024-01-19 02:41:08,119 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/util/ibex_util_sv2v.core' 2024-01-19 02:41:08,119 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/util/sv2v_in_place.py' 2024-01-19 02:41:08,120 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark.lock.hjson' 2024-01-19 02:41:08,120 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv.lock.hjson' 2024-01-19 02:41:08,120 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv.vendor.hjson' 2024-01-19 02:41:08,120 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip.lock.hjson' 2024-01-19 02:41:08,120 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip.vendor.hjson' 2024-01-19 02:41:08,121 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/LICENSE.md' 2024-01-19 02:41:08,121 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/Makefile' 2024-01-19 02:41:08,121 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/README.md' 2024-01-19 02:41:08,122 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_list_join.c' 2024-01-19 02:41:08,122 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_main.c' 2024-01-19 02:41:08,122 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_matrix.c' 2024-01-19 02:41:08,122 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_state.c' 2024-01-19 02:41:08,123 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/core_util.c' 2024-01-19 02:41:08,123 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/coremark.h' 2024-01-19 02:41:08,123 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/coremark.md5' 2024-01-19 02:41:08,123 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.c' 2024-01-19 02:41:08,124 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.h' 2024-01-19 02:41:08,124 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/core_portme.mak' 2024-01-19 02:41:08,124 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/cvt.c' 2024-01-19 02:41:08,124 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/barebones/ee_printf.c' 2024-01-19 02:41:08,125 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.c' 2024-01-19 02:41:08,125 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.h' 2024-01-19 02:41:08,125 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/cygwin/core_portme.mak' 2024-01-19 02:41:08,125 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/READM.md' 2024-01-19 02:41:08,126 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/balance_O0_joined.png' 2024-01-19 02:41:08,127 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/coremark_profile_o0_joined.png' 2024-01-19 02:41:08,128 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index.html' 2024-01-19 02:41:08,128 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_list_join-c.html' 2024-01-19 02:41:08,128 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_main-c.html' 2024-01-19 02:41:08,129 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_matrix-c.html' 2024-01-19 02:41:08,129 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_state-c.html' 2024-01-19 02:41:08,129 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/core_util-c.html' 2024-01-19 02:41:08,130 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/coremark-h.html' 2024-01-19 02:41:08,130 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/readme-txt.html' 2024-01-19 02:41:08,130 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/release_notes-txt.html' 2024-01-19 02:41:08,131 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/PIC32/core_portme-mak.html' 2024-01-19 02:41:08,131 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/docs/core_state.png' 2024-01-19 02:41:08,132 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-c.html' 2024-01-19 02:41:08,132 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-h.html' 2024-01-19 02:41:08,132 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/files/linux/core_portme-mak.html' 2024-01-19 02:41:08,133 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/BuildTargets.html' 2024-01-19 02:41:08,133 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Configuration.html' 2024-01-19 02:41:08,133 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Configurations.html' 2024-01-19 02:41:08,133 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Files.html' 2024-01-19 02:41:08,134 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Functions.html' 2024-01-19 02:41:08,134 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/General.html' 2024-01-19 02:41:08,135 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/General2.html' 2024-01-19 02:41:08,135 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Types.html' 2024-01-19 02:41:08,135 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/index/Variables.html' 2024-01-19 02:41:08,136 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript/main.js' 2024-01-19 02:41:08,136 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/javascript/searchdata.js' 2024-01-19 02:41:08,136 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/BuildTargetsP.html' 2024-01-19 02:41:08,137 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationC.html' 2024-01-19 02:41:08,137 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationH.html' 2024-01-19 02:41:08,137 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationM.html' 2024-01-19 02:41:08,137 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationS.html' 2024-01-19 02:41:08,137 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationT.html' 2024-01-19 02:41:08,138 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationU.html' 2024-01-19 02:41:08,138 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsH.html' 2024-01-19 02:41:08,138 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsM.html' 2024-01-19 02:41:08,138 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsS.html' 2024-01-19 02:41:08,138 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/ConfigurationsT.html' 2024-01-19 02:41:08,139 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FilesC.html' 2024-01-19 02:41:08,139 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FilesR.html' 2024-01-19 02:41:08,139 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsC.html' 2024-01-19 02:41:08,139 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsG.html' 2024-01-19 02:41:08,140 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsI.html' 2024-01-19 02:41:08,140 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsM.html' 2024-01-19 02:41:08,140 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsP.html' 2024-01-19 02:41:08,140 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsS.html' 2024-01-19 02:41:08,140 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/FunctionsT.html' 2024-01-19 02:41:08,141 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralB.html' 2024-01-19 02:41:08,141 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralC.html' 2024-01-19 02:41:08,141 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralD.html' 2024-01-19 02:41:08,141 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralF.html' 2024-01-19 02:41:08,142 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralG.html' 2024-01-19 02:41:08,142 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralH.html' 2024-01-19 02:41:08,142 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralI.html' 2024-01-19 02:41:08,142 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralL.html' 2024-01-19 02:41:08,142 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralM.html' 2024-01-19 02:41:08,143 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralO.html' 2024-01-19 02:41:08,143 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralP.html' 2024-01-19 02:41:08,143 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralR.html' 2024-01-19 02:41:08,143 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralS.html' 2024-01-19 02:41:08,144 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralT.html' 2024-01-19 02:41:08,144 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralU.html' 2024-01-19 02:41:08,144 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralV.html' 2024-01-19 02:41:08,144 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/GeneralW.html' 2024-01-19 02:41:08,144 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/NoResults.html' 2024-01-19 02:41:08,145 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/TypesS.html' 2024-01-19 02:41:08,145 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesC.html' 2024-01-19 02:41:08,145 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesD.html' 2024-01-19 02:41:08,145 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesL.html' 2024-01-19 02:41:08,145 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesO.html' 2024-01-19 02:41:08,146 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesP.html' 2024-01-19 02:41:08,146 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesR.html' 2024-01-19 02:41:08,146 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/search/VariablesS.html' 2024-01-19 02:41:08,146 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/1.css' 2024-01-19 02:41:08,147 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/2.css' 2024-01-19 02:41:08,147 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/docs/html/styles/main.css' 2024-01-19 02:41:08,147 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.c' 2024-01-19 02:41:08,147 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.h' 2024-01-19 02:41:08,148 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/freebsd/core_portme.mak' 2024-01-19 02:41:08,148 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.c' 2024-01-19 02:41:08,148 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.h' 2024-01-19 02:41:08,148 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux/core_portme.mak' 2024-01-19 02:41:08,149 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.c' 2024-01-19 02:41:08,149 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.h' 2024-01-19 02:41:08,149 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/linux64/core_portme.mak' 2024-01-19 02:41:08,150 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.c' 2024-01-19 02:41:08,150 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.h' 2024-01-19 02:41:08,150 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/eembc_coremark/simple/core_portme.mak' 2024-01-19 02:41:08,150 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.flake8' 2024-01-19 02:41:08,150 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.gitignore' 2024-01-19 02:41:08,151 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.metrics.json' 2024-01-19 02:41:08,151 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.travis.yml' 2024-01-19 02:41:08,151 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/CONTRIBUTING.md' 2024-01-19 02:41:08,151 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/LICENSE.txt' 2024-01-19 02:41:08,152 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/MANIFEST.in' 2024-01-19 02:41:08,152 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/README.md' 2024-01-19 02:41:08,152 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/cov.py' 2024-01-19 02:41:08,152 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/files.f' 2024-01-19 02:41:08,152 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/qrun_option.f' 2024-01-19 02:41:08,153 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/questa_sim.tcl' 2024-01-19 02:41:08,153 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/requirements.txt' 2024-01-19 02:41:08,153 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/riviera_sim.tcl' 2024-01-19 02:41:08,153 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/run.py' 2024-01-19 02:41:08,154 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/setup.cfg' 2024-01-19 02:41:08,154 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/setup.py' 2024-01-19 02:41:08,154 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/vcs.compile.option.f' 2024-01-19 02:41:08,154 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/.github/workflows/metrics-regress.yml' 2024-01-19 02:41:08,155 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/Makefile' 2024-01-19 02:41:08,155 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/make.bat' 2024-01-19 02:41:08,155 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/appendix.rst' 2024-01-19 02:41:08,155 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/class_reference.rst' 2024-01-19 02:41:08,156 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/cmd_line_reference.rst' 2024-01-19 02:41:08,156 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/conf.py' 2024-01-19 02:41:08,156 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/configuration.rst' 2024-01-19 02:41:08,156 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/coverage_model.rst' 2024-01-19 02:41:08,157 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/customize_extend_generator.rst' 2024-01-19 02:41:08,157 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/end_to_end_simulation.rst' 2024-01-19 02:41:08,157 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/extension_support.rst' 2024-01-19 02:41:08,157 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/generator_flow.rst' 2024-01-19 02:41:08,157 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/getting_started.rst' 2024-01-19 02:41:08,158 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/handshake.rst' 2024-01-19 02:41:08,158 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/index.rst' 2024-01-19 02:41:08,158 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/overview.rst' 2024-01-19 02:41:08,158 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/trace_csv.png' 2024-01-19 02:41:08,159 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/README.md' 2024-01-19 02:41:08,159 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_asm_program_gen.py' 2024-01-19 02:41:08,159 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_callstack_gen.py' 2024-01-19 02:41:08,160 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_data_page_gen.py' 2024-01-19 02:41:08,160 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_directed_instr_lib.py' 2024-01-19 02:41:08,160 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_base.py' 2024-01-19 02:41:08,160 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_sequence.py' 2024-01-19 02:41:08,161 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_instr_stream.py' 2024-01-19 02:41:08,161 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_load_store_instr_lib.py' 2024-01-19 02:41:08,161 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/riscv_rand_instr.py' 2024-01-19 02:41:08,161 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/utils.py' 2024-01-19 02:41:08,162 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_amo_instr_lib.py' 2024-01-19 02:41:08,162 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_asm_program_gen.py' 2024-01-19 02:41:08,163 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_data_page_gen.py' 2024-01-19 02:41:08,163 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_defines.py' 2024-01-19 02:41:08,163 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_directed_instr_lib.py' 2024-01-19 02:41:08,163 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_illegal_instr.py' 2024-01-19 02:41:08,164 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_cover_group.py' 2024-01-19 02:41:08,164 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_gen_config.py' 2024-01-19 02:41:08,165 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_pkg.py' 2024-01-19 02:41:08,165 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_sequence.py' 2024-01-19 02:41:08,166 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_instr_stream.py' 2024-01-19 02:41:08,166 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_load_store_instr_lib.py' 2024-01-19 02:41:08,166 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_privil_reg.py' 2024-01-19 02:41:08,166 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_privileged_common_seq.py' 2024-01-19 02:41:08,167 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_pseudo_instr.py' 2024-01-19 02:41:08,167 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_reg.py' 2024-01-19 02:41:08,167 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_signature_pkg.py' 2024-01-19 02:41:08,167 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/riscv_utils.py' 2024-01-19 02:41:08,168 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_amo_instr.py' 2024-01-19 02:41:08,168 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_b_instr.py' 2024-01-19 02:41:08,168 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_compressed_instr.py' 2024-01-19 02:41:08,168 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_cov_instr.py' 2024-01-19 02:41:08,169 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_floating_point_instr.py' 2024-01-19 02:41:08,169 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/riscv_instr.py' 2024-01-19 02:41:08,169 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32a_instr.py' 2024-01-19 02:41:08,170 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32b_instr.py' 2024-01-19 02:41:08,170 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32c_instr.py' 2024-01-19 02:41:08,170 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32d_instr.py' 2024-01-19 02:41:08,170 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32dc_instr.py' 2024-01-19 02:41:08,171 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32f_instr.py' 2024-01-19 02:41:08,171 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32fc_instr.py' 2024-01-19 02:41:08,171 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32i_instr.py' 2024-01-19 02:41:08,171 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/rv32m_instr.py' 2024-01-19 02:41:08,172 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/riscvOVPsim.ic' 2024-01-19 02:41:08,172 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/riscv_core_setting.py' 2024-01-19 02:41:08,172 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/riscvOVPsim.ic' 2024-01-19 02:41:08,172 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/riscv_core_setting.py' 2024-01-19 02:41:08,172 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/riscvOVPsim.ic' 2024-01-19 02:41:08,173 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/riscv_core_setting.py' 2024-01-19 02:41:08,173 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/riscvOVPsim.ic' 2024-01-19 02:41:08,173 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/riscv_core_setting.py' 2024-01-19 02:41:08,173 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/riscvOVPsim.ic' 2024-01-19 02:41:08,174 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/riscv_core_setting.py' 2024-01-19 02:41:08,174 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_instr_base_test.py' 2024-01-19 02:41:08,174 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_instr_cov_test.py' 2024-01-19 02:41:08,174 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/riscv_rand_instr_test.py' 2024-01-19 02:41:08,175 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/check-status' 2024-01-19 02:41:08,175 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/genMetricsList.py' 2024-01-19 02:41:08,175 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/gen_csr_test.py' 2024-01-19 02:41:08,175 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/instr_trace_compare.py' 2024-01-19 02:41:08,176 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/lib.py' 2024-01-19 02:41:08,176 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/link.ld' 2024-01-19 02:41:08,176 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/metrics-regress.py' 2024-01-19 02:41:08,177 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/ovpsim_log_to_trace_csv.py' 2024-01-19 02:41:08,177 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/riscv_trace_csv.py' 2024-01-19 02:41:08,177 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/sail_log_to_trace_csv.py' 2024-01-19 02:41:08,177 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/spike_log_to_trace_csv.py' 2024-01-19 02:41:08,178 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/whisper_log_trace_csv.py' 2024-01-19 02:41:08,178 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/instr_trace_compare.py' 2024-01-19 02:41:08,178 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/ovpsim_log_to_trace_csv.py' 2024-01-19 02:41:08,178 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/riscv_trace_csv.py' 2024-01-19 02:41:08,179 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/spike_log_to_trace_csv.py' 2024-01-19 02:41:08,179 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/dv_defines.svh' 2024-01-19 02:41:08,179 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_amo_instr_lib.sv' 2024-01-19 02:41:08,180 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_asm_program_gen.sv' 2024-01-19 02:41:08,180 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_callstack_gen.sv' 2024-01-19 02:41:08,180 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_data_page_gen.sv' 2024-01-19 02:41:08,181 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_debug_rom_gen.sv' 2024-01-19 02:41:08,181 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_defines.svh' 2024-01-19 02:41:08,181 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_directed_instr_lib.sv' 2024-01-19 02:41:08,182 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_illegal_instr.sv' 2024-01-19 02:41:08,182 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_cover_group.sv' 2024-01-19 02:41:08,183 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_gen_config.sv' 2024-01-19 02:41:08,183 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_pkg.sv' 2024-01-19 02:41:08,183 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_sequence.sv' 2024-01-19 02:41:08,184 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_instr_stream.sv' 2024-01-19 02:41:08,184 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_load_store_instr_lib.sv' 2024-01-19 02:41:08,184 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_loop_instr.sv' 2024-01-19 02:41:08,185 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table.sv' 2024-01-19 02:41:08,185 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_entry.sv' 2024-01-19 02:41:08,185 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_exception_cfg.sv' 2024-01-19 02:41:08,185 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_page_table_list.sv' 2024-01-19 02:41:08,186 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_pmp_cfg.sv' 2024-01-19 02:41:08,186 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_privil_reg.sv' 2024-01-19 02:41:08,187 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_privileged_common_seq.sv' 2024-01-19 02:41:08,187 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_pseudo_instr.sv' 2024-01-19 02:41:08,187 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_reg.sv' 2024-01-19 02:41:08,187 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_signature_pkg.sv' 2024-01-19 02:41:08,188 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/riscv_vector_cfg.sv' 2024-01-19 02:41:08,188 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_amo_instr.sv' 2024-01-19 02:41:08,188 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_b_instr.sv' 2024-01-19 02:41:08,188 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_compressed_instr.sv' 2024-01-19 02:41:08,189 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_floating_point_instr.sv' 2024-01-19 02:41:08,189 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_instr.sv' 2024-01-19 02:41:08,189 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_instr_cov.svh' 2024-01-19 02:41:08,190 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/riscv_vector_instr.sv' 2024-01-19 02:41:08,190 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv128c_instr.sv' 2024-01-19 02:41:08,190 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32a_instr.sv' 2024-01-19 02:41:08,190 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32b_instr.sv' 2024-01-19 02:41:08,191 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32c_instr.sv' 2024-01-19 02:41:08,191 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32d_instr.sv' 2024-01-19 02:41:08,191 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32dc_instr.sv' 2024-01-19 02:41:08,191 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32f_instr.sv' 2024-01-19 02:41:08,192 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32fc_instr.sv' 2024-01-19 02:41:08,192 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32i_instr.sv' 2024-01-19 02:41:08,192 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32m_instr.sv' 2024-01-19 02:41:08,192 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv32v_instr.sv' 2024-01-19 02:41:08,193 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64a_instr.sv' 2024-01-19 02:41:08,193 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64b_instr.sv' 2024-01-19 02:41:08,193 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64c_instr.sv' 2024-01-19 02:41:08,193 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64d_instr.sv' 2024-01-19 02:41:08,193 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64f_instr.sv' 2024-01-19 02:41:08,194 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64i_instr.sv' 2024-01-19 02:41:08,194 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/rv64m_instr.sv' 2024-01-19 02:41:08,194 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/riscv_custom_instr.sv' 2024-01-19 02:41:08,194 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/riscv_custom_instr_enum.sv' 2024-01-19 02:41:08,194 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/rv32x_instr.sv' 2024-01-19 02:41:08,195 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/src/isa/custom/rv64x_instr.sv' 2024-01-19 02:41:08,195 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/riscvOVPsim.ic' 2024-01-19 02:41:08,195 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/riscv_core_setting.sv' 2024-01-19 02:41:08,195 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/ml/testlist.yaml' 2024-01-19 02:41:08,196 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/riscvOVPsim.ic' 2024-01-19 02:41:08,196 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/riscv_core_setting.sv' 2024-01-19 02:41:08,196 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/multi_harts/testlist.yaml' 2024-01-19 02:41:08,196 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/riscvOVPsim.ic' 2024-01-19 02:41:08,197 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/riscv_core_setting.sv' 2024-01-19 02:41:08,197 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32i/testlist.yaml' 2024-01-19 02:41:08,197 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc/riscv_core_setting.sv' 2024-01-19 02:41:08,197 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imafdc/testlist.yaml' 2024-01-19 02:41:08,198 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/riscvOVPsim.ic' 2024-01-19 02:41:08,198 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/riscv_core_setting.sv' 2024-01-19 02:41:08,198 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc/testlist.yaml' 2024-01-19 02:41:08,198 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/riscvOVPsim.ic' 2024-01-19 02:41:08,198 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/riscv_core_setting.sv' 2024-01-19 02:41:08,199 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imc_sv32/testlist.yaml' 2024-01-19 02:41:08,199 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/riscvOVPsim.ic' 2024-01-19 02:41:08,199 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/riscv_core_setting.sv' 2024-01-19 02:41:08,199 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv32imcb/testlist.yaml' 2024-01-19 02:41:08,200 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/riscvOVPsim.ic' 2024-01-19 02:41:08,200 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/riscv_core_setting.sv' 2024-01-19 02:41:08,200 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gc/testlist.yaml' 2024-01-19 02:41:08,200 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/riscvOVPsim.ic' 2024-01-19 02:41:08,201 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/riscv_core_setting.sv' 2024-01-19 02:41:08,201 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64gcv/testlist.yaml' 2024-01-19 02:41:08,201 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/riscvOVPsim.ic' 2024-01-19 02:41:08,201 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/riscv_core_setting.sv' 2024-01-19 02:41:08,202 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imc/testlist.yaml' 2024-01-19 02:41:08,202 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/riscvOVPsim.ic' 2024-01-19 02:41:08,202 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/riscv_core_setting.sv' 2024-01-19 02:41:08,202 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/target/rv64imcb/testlist.yaml' 2024-01-19 02:41:08,202 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_base_test.sv' 2024-01-19 02:41:08,203 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_cov_test.sv' 2024-01-19 02:41:08,203 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_gen_tb_top.sv' 2024-01-19 02:41:08,203 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test.sv' 2024-01-19 02:41:08,203 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test_lib.sv' 2024-01-19 02:41:08,204 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/test/riscv_instr_test_pkg.sv' 2024-01-19 02:41:08,204 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_define.h' 2024-01-19 02:41:08,204 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_extension.svh' 2024-01-19 02:41:08,204 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/user_extension/user_init.s' 2024-01-19 02:41:08,204 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/build-verible.sh' 2024-01-19 02:41:08,205 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/exclude_filelist.f' 2024-01-19 02:41:08,205 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/verilog_style/run.sh' 2024-01-19 02:41:08,205 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/base_testlist.yaml' 2024-01-19 02:41:08,205 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/cov_testlist.yaml' 2024-01-19 02:41:08,206 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/csr_template.yaml' 2024-01-19 02:41:08,206 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/iss.yaml' 2024-01-19 02:41:08,206 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/yaml/simulator.yaml' 2024-01-19 02:41:08,206 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/clk_if.sv' 2024-01-19 02:41:08,207 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/clk_rst_if.sv' 2024-01-19 02:41:08,207 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/common_ifs.core' 2024-01-19 02:41:08,207 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/index.md' 2024-01-19 02:41:08,207 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_if.sv' 2024-01-19 02:41:08,208 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_if.svg' 2024-01-19 02:41:08,208 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/common_ifs/pins_ifs.core' 2024-01-19 02:41:08,208 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/README.md' 2024-01-19 02:41:08,208 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_seq_lib.sv' 2024-01-19 02:41:08,209 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_utils.core' 2024-01-19 02:41:08,209 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/csr_utils/csr_utils_pkg.sv' 2024-01-19 02:41:08,209 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/csr_excl_item.sv' 2024-01-19 02:41:08,210 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_mem.sv' 2024-01-19 02:41:08,210 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg.core' 2024-01-19 02:41:08,210 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg.sv' 2024-01-19 02:41:08,210 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_block.sv' 2024-01-19 02:41:08,211 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_field.sv' 2024-01-19 02:41:08,211 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_map.sv' 2024-01-19 02:41:08,211 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_base_reg/dv_base_reg_pkg.sv' 2024-01-19 02:41:08,211 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/README.md' 2024-01-19 02:41:08,212 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent.sv' 2024-01-19 02:41:08,212 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent_cfg.sv' 2024-01-19 02:41:08,212 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_agent_cov.sv' 2024-01-19 02:41:08,212 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_driver.sv' 2024-01-19 02:41:08,212 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env.sv' 2024-01-19 02:41:08,213 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env_cfg.sv' 2024-01-19 02:41:08,213 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_env_cov.sv' 2024-01-19 02:41:08,213 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_monitor.sv' 2024-01-19 02:41:08,213 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_scoreboard.sv' 2024-01-19 02:41:08,213 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_seq.sv' 2024-01-19 02:41:08,214 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_sequencer.sv' 2024-01-19 02:41:08,214 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_test.sv' 2024-01-19 02:41:08,214 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_virtual_sequencer.sv' 2024-01-19 02:41:08,214 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_base_vseq.sv' 2024-01-19 02:41:08,215 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_lib.core' 2024-01-19 02:41:08,215 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_lib/dv_lib_pkg.sv' 2024-01-19 02:41:08,215 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/README.md' 2024-01-19 02:41:08,215 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_fcov_macros.core' 2024-01-19 02:41:08,216 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_fcov_macros.svh' 2024-01-19 02:41:08,216 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_macros.core' 2024-01-19 02:41:08,216 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_macros.svh' 2024-01-19 02:41:08,216 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_report_server.sv' 2024-01-19 02:41:08,216 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_test_status.core' 2024-01-19 02:41:08,217 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_test_status_pkg.sv' 2024-01-19 02:41:08,217 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_utils.core' 2024-01-19 02:41:08,217 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_utils_pkg.sv' 2024-01-19 02:41:08,217 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/dv_utils/dv_vif_wrap.sv' 2024-01-19 02:41:08,218 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/README.md' 2024-01-19 02:41:08,218 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model.core' 2024-01-19 02:41:08,218 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model.sv' 2024-01-19 02:41:08,218 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/mem_model/mem_model_pkg.sv' 2024-01-19 02:41:08,219 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/README.md' 2024-01-19 02:41:08,219 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/str_utils.core' 2024-01-19 02:41:08,219 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/sv/str_utils/str_utils_pkg.sv' 2024-01-19 02:41:08,219 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/README.md' 2024-01-19 02:41:08,219 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/common.tcl' 2024-01-19 02:41:08,220 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/sim.tcl' 2024-01-19 02:41:08,220 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/waves.tcl' 2024-01-19 02:41:08,220 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/common_modes.hjson' 2024-01-19 02:41:08,220 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/common_sim_cfg.hjson' 2024-01-19 02:41:08,221 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/dsim.hjson' 2024-01-19 02:41:08,221 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/fusesoc.hjson' 2024-01-19 02:41:08,221 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/riviera.hjson' 2024-01-19 02:41:08,221 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/sim.mk' 2024-01-19 02:41:08,221 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/vcs.hjson' 2024-01-19 02:41:08,222 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/verilator.hjson' 2024-01-19 02:41:08,222 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/xcelium.hjson' 2024-01-19 02:41:08,222 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/alert_test_testplan.hjson' 2024-01-19 02:41:08,222 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/csr_testplan.hjson' 2024-01-19 02:41:08,223 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/enable_reg_testplan.hjson' 2024-01-19 02:41:08,223 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/fpv_csr_testplan.hjson' 2024-01-19 02:41:08,223 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/intr_test_testplan.hjson' 2024-01-19 02:41:08,224 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/mem_testplan.hjson' 2024-01-19 02:41:08,224 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/shadow_reg_errors_testplan.hjson' 2024-01-19 02:41:08,224 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/stress_all_with_reset_testplan.hjson' 2024-01-19 02:41:08,224 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/testplans/tl_device_access_types_testplan.hjson' 2024-01-19 02:41:08,225 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/alert_test.hjson' 2024-01-19 02:41:08,225 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/csr_tests.hjson' 2024-01-19 02:41:08,225 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/intr_test.hjson' 2024-01-19 02:41:08,225 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/mem_tests.hjson' 2024-01-19 02:41:08,225 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/shadow_reg_errors_tests.hjson' 2024-01-19 02:41:08,226 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/stress_tests.hjson' 2024-01-19 02:41:08,227 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/dvsim/tests/tl_access_tests.hjson' 2024-01-19 02:41:08,227 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/README.md' 2024-01-19 02:41:08,227 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/ralgen.core' 2024-01-19 02:41:08,227 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/ralgen.py' 2024-01-19 02:41:08,228 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/riviera/riviera_run.do' 2024-01-19 02:41:08,228 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/common_cov_excl.el' 2024-01-19 02:41:08,228 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/cover.cfg' 2024-01-19 02:41:08,228 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/cover_reg_top.cfg' 2024-01-19 02:41:08,229 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/unr.cfg' 2024-01-19 02:41:08,229 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/vcs/xprop.cfg' 2024-01-19 02:41:08,229 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/cov_merge.tcl' 2024-01-19 02:41:08,229 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/cov_report.tcl' 2024-01-19 02:41:08,229 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/exclude.tcl' 2024-01-19 02:41:08,230 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/unr.cfg' 2024-01-19 02:41:08,230 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/xcelium/xcelium.ccf' 2024-01-19 02:41:08,230 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/README.md' 2024-01-19 02:41:08,230 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi.core' 2024-01-19 02:41:08,231 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi_scrambled.core' 2024-01-19 02:41:08,231 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_dpi_scrambled_opts.hjson' 2024-01-19 02:41:08,231 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/memutil_verilator.core' 2024-01-19 02:41:08,231 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/dpi_memutil.cc' 2024-01-19 02:41:08,232 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/dpi_memutil.h' 2024-01-19 02:41:08,232 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ecc32_mem_area.cc' 2024-01-19 02:41:08,232 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ecc32_mem_area.h' 2024-01-19 02:41:08,232 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/mem_area.cc' 2024-01-19 02:41:08,233 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/mem_area.h' 2024-01-19 02:41:08,233 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/ranged_map.h' 2024-01-19 02:41:08,233 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/scrambled_ecc32_mem_area.cc' 2024-01-19 02:41:08,233 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/scrambled_ecc32_mem_area.h' 2024-01-19 02:41:08,233 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/sv_scoped.cc' 2024-01-19 02:41:08,234 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/sv_scoped.h' 2024-01-19 02:41:08,234 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/verilator_memutil.cc' 2024-01-19 02:41:08,234 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/cpp/verilator_memutil.h' 2024-01-19 02:41:08,234 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/simutil_verilator.core' 2024-01-19 02:41:08,235 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/sim_ctrl_extension.h' 2024-01-19 02:41:08,235 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilated_toplevel.cc' 2024-01-19 02:41:08,235 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilated_toplevel.h' 2024-01-19 02:41:08,235 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.cc' 2024-01-19 02:41:08,236 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/verilator/simutil_verilator/cpp/verilator_sim_ctrl.h' 2024-01-19 02:41:08,236 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/README.md' 2024-01-19 02:41:08,236 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim.core' 2024-01-19 02:41:08,236 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_alert.core' 2024-01-19 02:41:08,237 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_arbiter.core' 2024-01-19 02:41:08,237 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_assert.core' 2024-01-19 02:41:08,237 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_buf.core' 2024-01-19 02:41:08,237 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_cipher.core' 2024-01-19 02:41:08,237 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_cipher_pkg.core' 2024-01-19 02:41:08,238 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_buf.core' 2024-01-19 02:41:08,238 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_div.core' 2024-01-19 02:41:08,238 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_gating.core' 2024-01-19 02:41:08,238 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_inv.core' 2024-01-19 02:41:08,239 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_clock_mux2.core' 2024-01-19 02:41:08,239 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_diff_decode.core' 2024-01-19 02:41:08,239 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_dom_and_2share.core' 2024-01-19 02:41:08,239 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_edn_req.core' 2024-01-19 02:41:08,239 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_esc.core' 2024-01-19 02:41:08,240 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_fifo.core' 2024-01-19 02:41:08,240 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flash.core' 2024-01-19 02:41:08,240 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop.core' 2024-01-19 02:41:08,240 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop_2sync.core' 2024-01-19 02:41:08,240 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_flop_en.core' 2024-01-19 02:41:08,241 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_gf_mult.core' 2024-01-19 02:41:08,241 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_dec.core' 2024-01-19 02:41:08,241 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_sender.core' 2024-01-19 02:41:08,241 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lc_sync.core' 2024-01-19 02:41:08,241 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_lfsr.core' 2024-01-19 02:41:08,242 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_msb_extend.core' 2024-01-19 02:41:08,242 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_multibit_sync.core' 2024-01-19 02:41:08,242 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_otp.core' 2024-01-19 02:41:08,242 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_otp_pkg.core' 2024-01-19 02:41:08,242 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_attr.core' 2024-01-19 02:41:08,243 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_wrapper.core' 2024-01-19 02:41:08,243 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pad_wrapper_pkg.core' 2024-01-19 02:41:08,243 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_pkg.core' 2024-01-19 02:41:08,243 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p.core' 2024-01-19 02:41:08,243 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_adv.core' 2024-01-19 02:41:08,244 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_pkg.core' 2024-01-19 02:41:08,244 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_1p_scr.core' 2024-01-19 02:41:08,244 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p.core' 2024-01-19 02:41:08,244 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_adv.core' 2024-01-19 02:41:08,244 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_async_adv.core' 2024-01-19 02:41:08,245 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_ram_2p_pkg.core' 2024-01-19 02:41:08,245 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom.core' 2024-01-19 02:41:08,245 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom_adv.core' 2024-01-19 02:41:08,245 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_rom_pkg.core' 2024-01-19 02:41:08,245 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_secded.core' 2024-01-19 02:41:08,246 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_subreg.core' 2024-01-19 02:41:08,246 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_usb_diff_rx.core' 2024-01-19 02:41:08,246 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util.core' 2024-01-19 02:41:08,246 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util_get_scramble_params.core' 2024-01-19 02:41:08,246 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_util_memload.core' 2024-01-19 02:41:08,247 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/prim_xor2.core' 2024-01-19 02:41:08,247 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/primgen.core' 2024-01-19 02:41:08,249 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_flash.md' 2024-01-19 02:41:08,249 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_keccak.md' 2024-01-19 02:41:08,249 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_lfsr.md' 2024-01-19 02:41:08,250 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_packer.md' 2024-01-19 02:41:08,250 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_packer_fifo.md' 2024-01-19 02:41:08,250 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_present.md' 2024-01-19 02:41:08,250 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/doc/prim_prince.md' 2024-01-19 02:41:08,251 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/prim_lfsr_sim.core' 2024-01-19 02:41:08,251 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/prim_lfsr_sim_cfg.hjson' 2024-01-19 02:41:08,251 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data/prim_lfsr_cov_excl.el' 2024-01-19 02:41:08,251 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/data/prim_lfsr_cover.cfg' 2024-01-19 02:41:08,252 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_lfsr/tb/prim_lfsr_tb.sv' 2024-01-19 02:41:08,252 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/prim_present_sim.core' 2024-01-19 02:41:08,252 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/prim_present_sim_cfg.hjson' 2024-01-19 02:41:08,252 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/boxes.inc' 2024-01-19 02:41:08,253 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/comline.inc' 2024-01-19 02:41:08,253 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.c' 2024-01-19 02:41:08,253 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present.core' 2024-01-19 02:41:08,253 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/crypto_dpi_present_pkg.sv' 2024-01-19 02:41:08,254 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/present.inc' 2024-01-19 02:41:08,254 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/crypto_dpi_present/verbose.inc' 2024-01-19 02:41:08,254 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/data/prim_present_cover.cfg' 2024-01-19 02:41:08,254 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_present/tb/prim_present_tb.sv' 2024-01-19 02:41:08,297 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/prim_prince_sim.core' 2024-01-19 02:41:08,297 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/prim_prince_sim_cfg.hjson' 2024-01-19 02:41:08,297 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.c' 2024-01-19 02:41:08,297 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince.core' 2024-01-19 02:41:08,298 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_pkg.sv' 2024-01-19 02:41:08,298 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_dpi_prince_sim_opts.hjson' 2024-01-19 02:41:08,298 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/crypto_prince_ref.core' 2024-01-19 02:41:08,298 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/crypto_dpi_prince/prince_ref.h' 2024-01-19 02:41:08,299 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/data/prim_prince_cover.cfg' 2024-01-19 02:41:08,299 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_prince/tb/prim_prince_tb.sv' 2024-01-19 02:41:08,299 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.cc' 2024-01-19 02:41:08,300 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.core' 2024-01-19 02:41:08,300 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_ram_scr/cpp/scramble_model.h' 2024-01-19 02:41:08,300 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.c' 2024-01-19 02:41:08,300 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.core' 2024-01-19 02:41:08,301 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/dv/prim_secded/secded_enc.h' 2024-01-19 02:41:08,301 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_async_fatal_fpv.core' 2024-01-19 02:41:08,301 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_async_fpv.core' 2024-01-19 02:41:08,301 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_fatal_fpv.core' 2024-01-19 02:41:08,301 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_alert_rxtx_fpv.core' 2024-01-19 02:41:08,302 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_fixed_fpv.core' 2024-01-19 02:41:08,302 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_ppc_fpv.core' 2024-01-19 02:41:08,302 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_arbiter_tree_fpv.core' 2024-01-19 02:41:08,302 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_esc_rxtx_fpv.core' 2024-01-19 02:41:08,302 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_fifo_sync_fpv.core' 2024-01-19 02:41:08,303 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_keccak_fpv.core' 2024-01-19 02:41:08,303 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_lfsr_fpv.core' 2024-01-19 02:41:08,303 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_packer_fpv.core' 2024-01-19 02:41:08,303 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_22_16_fpv.core' 2024-01-19 02:41:08,304 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_28_22_fpv.core' 2024-01-19 02:41:08,304 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_39_32_fpv.core' 2024-01-19 02:41:08,304 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_64_57_fpv.core' 2024-01-19 02:41:08,304 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_72_64_fpv.core' 2024-01-19 02:41:08,304 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_22_16_fpv.core' 2024-01-19 02:41:08,305 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_39_32_fpv.core' 2024-01-19 02:41:08,305 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/prim_secded_hamming_72_64_fpv.core' 2024-01-19 02:41:08,305 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_bind_fpv.sv' 2024-01-19 02:41:08,305 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_bind_fpv.sv' 2024-01-19 02:41:08,306 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fatal_fpv.sv' 2024-01-19 02:41:08,306 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_async_fpv.sv' 2024-01-19 02:41:08,306 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_bind_fpv.sv' 2024-01-19 02:41:08,306 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fatal_bind_fpv.sv' 2024-01-19 02:41:08,306 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fatal_fpv.sv' 2024-01-19 02:41:08,307 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_alert_rxtx_fpv.sv' 2024-01-19 02:41:08,307 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_fixed_fpv.sv' 2024-01-19 02:41:08,307 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_ppc_fpv.sv' 2024-01-19 02:41:08,307 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_arbiter_tree_fpv.sv' 2024-01-19 02:41:08,307 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_esc_rxtx_bind_fpv.sv' 2024-01-19 02:41:08,308 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_esc_rxtx_fpv.sv' 2024-01-19 02:41:08,308 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_fifo_sync_bind_fpv.sv' 2024-01-19 02:41:08,308 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_fifo_sync_fpv.sv' 2024-01-19 02:41:08,308 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_keccak_fpv.sv' 2024-01-19 02:41:08,309 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_lfsr_fpv.sv' 2024-01-19 02:41:08,309 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_packer_fpv.sv' 2024-01-19 02:41:08,309 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_22_16_bind_fpv.sv' 2024-01-19 02:41:08,309 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_22_16_fpv.sv' 2024-01-19 02:41:08,309 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_28_22_bind_fpv.sv' 2024-01-19 02:41:08,310 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_28_22_fpv.sv' 2024-01-19 02:41:08,310 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_39_32_bind_fpv.sv' 2024-01-19 02:41:08,310 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_39_32_fpv.sv' 2024-01-19 02:41:08,310 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_64_57_bind_fpv.sv' 2024-01-19 02:41:08,311 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_64_57_fpv.sv' 2024-01-19 02:41:08,311 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_72_64_bind_fpv.sv' 2024-01-19 02:41:08,311 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_72_64_fpv.sv' 2024-01-19 02:41:08,311 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_22_16_bind_fpv.sv' 2024-01-19 02:41:08,311 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_22_16_fpv.sv' 2024-01-19 02:41:08,312 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_39_32_bind_fpv.sv' 2024-01-19 02:41:08,312 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_39_32_fpv.sv' 2024-01-19 02:41:08,312 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_72_64_bind_fpv.sv' 2024-01-19 02:41:08,312 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/tb/prim_secded_hamming_72_64_fpv.sv' 2024-01-19 02:41:08,312 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_alert_rxtx_assert_fpv.sv' 2024-01-19 02:41:08,313 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_alert_rxtx_async_assert_fpv.sv' 2024-01-19 02:41:08,313 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_esc_rxtx_assert_fpv.sv' 2024-01-19 02:41:08,313 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_fifo_sync_assert_fpv.sv' 2024-01-19 02:41:08,314 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_22_16_assert_fpv.sv' 2024-01-19 02:41:08,314 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_28_22_assert_fpv.sv' 2024-01-19 02:41:08,314 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_39_32_assert_fpv.sv' 2024-01-19 02:41:08,314 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_64_57_assert_fpv.sv' 2024-01-19 02:41:08,314 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_72_64_assert_fpv.sv' 2024-01-19 02:41:08,315 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_22_16_assert_fpv.sv' 2024-01-19 02:41:08,315 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_39_32_assert_fpv.sv' 2024-01-19 02:41:08,315 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/fpv/vip/prim_secded_hamming_72_64_assert_fpv.sv' 2024-01-19 02:41:08,315 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim.vlt' 2024-01-19 02:41:08,316 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim.waiver' 2024-01-19 02:41:08,316 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_arbiter.vlt' 2024-01-19 02:41:08,316 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_arbiter.waiver' 2024-01-19 02:41:08,316 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_buf.waiver' 2024-01-19 02:41:08,316 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_cipher_pkg.waiver' 2024-01-19 02:41:08,317 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_buf.waiver' 2024-01-19 02:41:08,317 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_div.waiver' 2024-01-19 02:41:08,317 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_gating.waiver' 2024-01-19 02:41:08,317 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_inv.waiver' 2024-01-19 02:41:08,317 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_clock_mux2.waiver' 2024-01-19 02:41:08,318 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_fifo.vlt' 2024-01-19 02:41:08,318 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_fifo.waiver' 2024-01-19 02:41:08,318 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flash.waiver' 2024-01-19 02:41:08,318 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop.waiver' 2024-01-19 02:41:08,318 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop_2sync.waiver' 2024-01-19 02:41:08,319 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_flop_en.waiver' 2024-01-19 02:41:08,319 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_otp.waiver' 2024-01-19 02:41:08,319 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_pad_attr.waiver' 2024-01-19 02:41:08,319 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_pad_wrapper.waiver' 2024-01-19 02:41:08,319 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p.waiver' 2024-01-19 02:41:08,320 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p_adv.waiver' 2024-01-19 02:41:08,320 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_1p_scr.vlt' 2024-01-19 02:41:08,320 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_ram_2p.waiver' 2024-01-19 02:41:08,320 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_rom.waiver' 2024-01-19 02:41:08,320 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_subreg.vlt' 2024-01-19 02:41:08,321 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_subreg.waiver' 2024-01-19 02:41:08,321 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/lint/prim_usb_diff_rx.waiver' 2024-01-19 02:41:08,321 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/README.md' 2024-01-19 02:41:08,321 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/prim_sync_reqack_tb.core' 2024-01-19 02:41:08,322 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/cpp/prim_sync_reqack_tb.cc' 2024-01-19 02:41:08,322 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/pre_dv/prim_sync_reqack/rtl/prim_sync_reqack_tb.sv' 2024-01-19 02:41:08,322 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_pkg.sv' 2024-01-19 02:41:08,323 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_receiver.sv' 2024-01-19 02:41:08,323 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_alert_sender.sv' 2024-01-19 02:41:08,323 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_fixed.sv' 2024-01-19 02:41:08,323 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_ppc.sv' 2024-01-19 02:41:08,324 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_arbiter_tree.sv' 2024-01-19 02:41:08,324 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert.sv' 2024-01-19 02:41:08,324 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_dummy_macros.svh' 2024-01-19 02:41:08,324 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_standard_macros.svh' 2024-01-19 02:41:08,325 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_assert_yosys_macros.svh' 2024-01-19 02:41:08,325 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_cipher_pkg.sv' 2024-01-19 02:41:08,325 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_clock_div.sv' 2024-01-19 02:41:08,325 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_clock_gating_sync.sv' 2024-01-19 02:41:08,326 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_diff_decode.sv' 2024-01-19 02:41:08,326 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_dom_and_2share.sv' 2024-01-19 02:41:08,326 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_edn_req.sv' 2024-01-19 02:41:08,326 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_pkg.sv' 2024-01-19 02:41:08,327 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_receiver.sv' 2024-01-19 02:41:08,327 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_esc_sender.sv' 2024-01-19 02:41:08,327 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_fifo_async.sv' 2024-01-19 02:41:08,327 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_fifo_sync.sv' 2024-01-19 02:41:08,328 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_filter.sv' 2024-01-19 02:41:08,328 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_filter_ctr.sv' 2024-01-19 02:41:08,328 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_gate_gen.sv' 2024-01-19 02:41:08,328 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_gf_mult.sv' 2024-01-19 02:41:08,328 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_intr_hw.sv' 2024-01-19 02:41:08,329 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_keccak.sv' 2024-01-19 02:41:08,329 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_dec.sv' 2024-01-19 02:41:08,329 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_sender.sv' 2024-01-19 02:41:08,329 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lc_sync.sv' 2024-01-19 02:41:08,330 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_lfsr.sv' 2024-01-19 02:41:08,330 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_msb_extend.sv' 2024-01-19 02:41:08,330 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_multibit_sync.sv' 2024-01-19 02:41:08,330 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_otp_pkg.sv' 2024-01-19 02:41:08,331 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_packer.sv' 2024-01-19 02:41:08,331 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_packer_fifo.sv' 2024-01-19 02:41:08,331 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_pad_wrapper_pkg.sv' 2024-01-19 02:41:08,331 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_present.sv' 2024-01-19 02:41:08,332 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_prince.sv' 2024-01-19 02:41:08,332 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_pulse_sync.sv' 2024-01-19 02:41:08,332 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_adv.sv' 2024-01-19 02:41:08,332 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_pkg.sv' 2024-01-19 02:41:08,333 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_1p_scr.sv' 2024-01-19 02:41:08,333 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_adv.sv' 2024-01-19 02:41:08,333 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_async_adv.sv' 2024-01-19 02:41:08,334 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_ram_2p_pkg.sv' 2024-01-19 02:41:08,334 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_rom_adv.sv' 2024-01-19 02:41:08,334 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_rom_pkg.sv' 2024-01-19 02:41:08,334 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_22_16_dec.sv' 2024-01-19 02:41:08,334 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_22_16_enc.sv' 2024-01-19 02:41:08,335 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_28_22_dec.sv' 2024-01-19 02:41:08,335 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_28_22_enc.sv' 2024-01-19 02:41:08,335 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_39_32_dec.sv' 2024-01-19 02:41:08,335 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_39_32_enc.sv' 2024-01-19 02:41:08,335 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_64_57_dec.sv' 2024-01-19 02:41:08,336 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_64_57_enc.sv' 2024-01-19 02:41:08,336 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_72_64_dec.sv' 2024-01-19 02:41:08,336 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_72_64_enc.sv' 2024-01-19 02:41:08,336 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_22_16_dec.sv' 2024-01-19 02:41:08,337 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_22_16_enc.sv' 2024-01-19 02:41:08,337 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_39_32_dec.sv' 2024-01-19 02:41:08,337 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_39_32_enc.sv' 2024-01-19 02:41:08,337 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_72_64_dec.sv' 2024-01-19 02:41:08,337 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_hamming_72_64_enc.sv' 2024-01-19 02:41:08,338 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_secded_pkg.sv' 2024-01-19 02:41:08,338 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_slicer.sv' 2024-01-19 02:41:08,338 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sram_arbiter.sv' 2024-01-19 02:41:08,338 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg.sv' 2024-01-19 02:41:08,339 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_arb.sv' 2024-01-19 02:41:08,339 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_ext.sv' 2024-01-19 02:41:08,339 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subreg_shadow.sv' 2024-01-19 02:41:08,339 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_subst_perm.sv' 2024-01-19 02:41:08,340 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_reqack.sv' 2024-01-19 02:41:08,340 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_reqack_data.sv' 2024-01-19 02:41:08,340 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_sync_slow_fast.sv' 2024-01-19 02:41:08,340 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_get_scramble_params.svh' 2024-01-19 02:41:08,341 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_memload.svh' 2024-01-19 02:41:08,341 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/rtl/prim_util_pkg.sv' 2024-01-19 02:41:08,341 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen.py' 2024-01-19 02:41:08,341 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/abstract_prim.sv.tpl' 2024-01-19 02:41:08,342 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/prim_pkg.core.tpl' 2024-01-19 02:41:08,342 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/primgen/prim_pkg.sv.tpl' 2024-01-19 02:41:08,342 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py.lock.hjson' 2024-01-19 02:41:08,342 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py.vendor.hjson' 2024-01-19 02:41:08,342 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/BUILD' 2024-01-19 02:41:08,343 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/print_modules.py' 2024-01-19 02:41:08,343 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/print_tree.py' 2024-01-19 02:41:08,343 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/verible_verilog_syntax.py' 2024-01-19 02:41:08,343 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/verible_verilog_syntax_test.py' 2024-01-19 02:41:08,344 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_buf.core' 2024-01-19 02:41:08,344 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_buf.core' 2024-01-19 02:41:08,344 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_gating.core' 2024-01-19 02:41:08,344 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_inv.core' 2024-01-19 02:41:08,345 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_clock_mux2.core' 2024-01-19 02:41:08,345 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flash.core' 2024-01-19 02:41:08,345 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop.core' 2024-01-19 02:41:08,345 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop_2sync.core' 2024-01-19 02:41:08,345 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_flop_en.core' 2024-01-19 02:41:08,346 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_otp.core' 2024-01-19 02:41:08,346 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_pad_attr.core' 2024-01-19 02:41:08,346 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_pad_wrapper.core' 2024-01-19 02:41:08,346 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_ram_1p.core' 2024-01-19 02:41:08,347 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_ram_2p.core' 2024-01-19 02:41:08,347 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_rom.core' 2024-01-19 02:41:08,347 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_usb_diff_rx.core' 2024-01-19 02:41:08,347 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/prim_generic_xor2.core' 2024-01-19 02:41:08,347 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_gating.vlt' 2024-01-19 02:41:08,348 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_gating.waiver' 2024-01-19 02:41:08,348 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_mux2.vlt' 2024-01-19 02:41:08,348 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_clock_mux2.waiver' 2024-01-19 02:41:08,349 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_flash.vlt' 2024-01-19 02:41:08,349 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_flash.waiver' 2024-01-19 02:41:08,349 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_otp.vlt' 2024-01-19 02:41:08,350 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_otp.waiver' 2024-01-19 02:41:08,350 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_pad_wrapper.vlt' 2024-01-19 02:41:08,350 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_pad_wrapper.waiver' 2024-01-19 02:41:08,351 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_1p.vlt' 2024-01-19 02:41:08,351 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_1p.waiver' 2024-01-19 02:41:08,351 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_2p.vlt' 2024-01-19 02:41:08,352 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_ram_2p.waiver' 2024-01-19 02:41:08,352 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_rom.vlt' 2024-01-19 02:41:08,352 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/lint/prim_generic_rom.waiver' 2024-01-19 02:41:08,353 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_buf.sv' 2024-01-19 02:41:08,353 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_buf.sv' 2024-01-19 02:41:08,353 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_gating.sv' 2024-01-19 02:41:08,353 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_inv.sv' 2024-01-19 02:41:08,354 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_clock_mux2.sv' 2024-01-19 02:41:08,354 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flash.sv' 2024-01-19 02:41:08,354 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flash_bank.sv' 2024-01-19 02:41:08,355 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop.sv' 2024-01-19 02:41:08,355 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop_2sync.sv' 2024-01-19 02:41:08,355 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_flop_en.sv' 2024-01-19 02:41:08,356 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_otp.sv' 2024-01-19 02:41:08,356 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_pad_attr.sv' 2024-01-19 02:41:08,356 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_pad_wrapper.sv' 2024-01-19 02:41:08,357 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_ram_1p.sv' 2024-01-19 02:41:08,357 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_ram_2p.sv' 2024-01-19 02:41:08,357 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_rom.sv' 2024-01-19 02:41:08,358 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_usb_diff_rx.sv' 2024-01-19 02:41:08,358 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_generic/rtl/prim_generic_xor2.sv' 2024-01-19 02:41:08,358 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_buf.core' 2024-01-19 02:41:08,359 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_buf.core' 2024-01-19 02:41:08,359 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_gating.core' 2024-01-19 02:41:08,359 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_clock_mux2.core' 2024-01-19 02:41:08,360 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_flop.core' 2024-01-19 02:41:08,360 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_flop_en.core' 2024-01-19 02:41:08,360 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_pad_attr.core' 2024-01-19 02:41:08,360 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_pad_wrapper.core' 2024-01-19 02:41:08,361 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/prim_xilinx_xor2.core' 2024-01-19 02:41:08,361 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_buf.vlt' 2024-01-19 02:41:08,361 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_buf.waiver' 2024-01-19 02:41:08,362 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_gating.vlt' 2024-01-19 02:41:08,362 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_gating.waiver' 2024-01-19 02:41:08,362 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.vlt' 2024-01-19 02:41:08,363 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_clock_mux2.waiver' 2024-01-19 02:41:08,363 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.vlt' 2024-01-19 02:41:08,363 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/lint/prim_xilinx_pad_wrapper.waiver' 2024-01-19 02:41:08,364 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_buf.sv' 2024-01-19 02:41:08,364 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_buf.sv' 2024-01-19 02:41:08,364 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_gating.sv' 2024-01-19 02:41:08,364 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_clock_mux2.sv' 2024-01-19 02:41:08,365 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_flop.sv' 2024-01-19 02:41:08,365 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_flop_en.sv' 2024-01-19 02:41:08,365 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_pad_attr.sv' 2024-01-19 02:41:08,366 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_pad_wrapper.sv' 2024-01-19 02:41:08,366 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim_xilinx/rtl/prim_xilinx_xor2.sv' 2024-01-19 02:41:08,366 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/common.core' 2024-01-19 02:41:08,367 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/comportable.core' 2024-01-19 02:41:08,367 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/doc/README.md' 2024-01-19 02:41:08,367 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/ascentlint-config.tcl' 2024-01-19 02:41:08,368 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/common.waiver' 2024-01-19 02:41:08,368 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/comportable.waiver' 2024-01-19 02:41:08,368 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/parse-lint-report.py' 2024-01-19 02:41:08,369 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/ascentlint.hjson' 2024-01-19 02:41:08,369 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/common_lint_cfg.hjson' 2024-01-19 02:41:08,369 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/lint.mk' 2024-01-19 02:41:08,370 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/veriblelint.hjson' 2024-01-19 02:41:08,370 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/dvsim/verilator.hjson' 2024-01-19 02:41:08,370 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/parse-lint-report.py' 2024-01-19 02:41:08,371 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/rules.vbl' 2024-01-19 02:41:08,371 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/common.vlt' 2024-01-19 02:41:08,371 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/comportable.vlt' 2024-01-19 02:41:08,372 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/parse-lint-report.py' 2024-01-19 02:41:08,372 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/CfgFactory.py' 2024-01-19 02:41:08,372 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/CfgJson.py' 2024-01-19 02:41:08,373 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Deploy.py' 2024-01-19 02:41:08,373 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/FlowCfg.py' 2024-01-19 02:41:08,374 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/FormalCfg.py' 2024-01-19 02:41:08,374 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Launcher.py' 2024-01-19 02:41:08,375 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LauncherFactory.py' 2024-01-19 02:41:08,375 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LintCfg.py' 2024-01-19 02:41:08,375 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LocalLauncher.py' 2024-01-19 02:41:08,376 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/LsfLauncher.py' 2024-01-19 02:41:08,376 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Makefile' 2024-01-19 02:41:08,377 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Modes.py' 2024-01-19 02:41:08,377 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/OneShotCfg.py' 2024-01-19 02:41:08,377 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Scheduler.py' 2024-01-19 02:41:08,378 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SimCfg.py' 2024-01-19 02:41:08,378 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SimResults.py' 2024-01-19 02:41:08,379 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/StatusPrinter.py' 2024-01-19 02:41:08,379 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/SynCfg.py' 2024-01-19 02:41:08,380 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Testplan.py' 2024-01-19 02:41:08,380 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/Timer.py' 2024-01-19 02:41:08,380 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/__init__.py' 2024-01-19 02:41:08,381 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/dvsim.py' 2024-01-19 02:41:08,381 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/sim_utils.py' 2024-01-19 02:41:08,382 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/style.css' 2024-01-19 02:41:08,382 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/testplanner.py' 2024-01-19 02:41:08,382 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/utils.py' 2024-01-19 02:41:08,383 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/utils_test.py' 2024-01-19 02:41:08,383 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/doc/testplanner.md' 2024-01-19 02:41:08,384 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/common_testplan.hjson' 2024-01-19 02:41:08,384 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_dv_doc.md' 2024-01-19 02:41:08,384 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_sim_results.hjson' 2024-01-19 02:41:08,385 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/examples/testplanner/foo_testplan.hjson' 2024-01-19 02:41:08,385 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/README.md' 2024-01-19 02:41:08,385 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/README.md.tpl' 2024-01-19 02:41:08,386 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/__init__.py' 2024-01-19 02:41:08,386 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent.core.tpl' 2024-01-19 02:41:08,386 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent.sv.tpl' 2024-01-19 02:41:08,387 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_cfg.sv.tpl' 2024-01-19 02:41:08,387 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_cov.sv.tpl' 2024-01-19 02:41:08,387 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/agent_pkg.sv.tpl' 2024-01-19 02:41:08,388 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_seq.sv.tpl' 2024-01-19 02:41:08,388 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_test.sv.tpl' 2024-01-19 02:41:08,388 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/base_vseq.sv.tpl' 2024-01-19 02:41:08,388 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/bind.sv.tpl' 2024-01-19 02:41:08,389 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/checklist.md.tpl' 2024-01-19 02:41:08,389 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/common_vseq.sv.tpl' 2024-01-19 02:41:08,389 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/cov_excl.el.tpl' 2024-01-19 02:41:08,390 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/device_driver.sv.tpl' 2024-01-19 02:41:08,390 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/driver.sv.tpl' 2024-01-19 02:41:08,390 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env.core.tpl' 2024-01-19 02:41:08,391 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env.sv.tpl' 2024-01-19 02:41:08,391 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_cfg.sv.tpl' 2024-01-19 02:41:08,391 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_cov.sv.tpl' 2024-01-19 02:41:08,392 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/env_pkg.sv.tpl' 2024-01-19 02:41:08,392 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/gen_agent.py' 2024-01-19 02:41:08,392 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/gen_env.py' 2024-01-19 02:41:08,392 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/host_driver.sv.tpl' 2024-01-19 02:41:08,393 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/if.sv.tpl' 2024-01-19 02:41:08,393 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/index.md.tpl' 2024-01-19 02:41:08,393 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/item.sv.tpl' 2024-01-19 02:41:08,394 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/monitor.sv.tpl' 2024-01-19 02:41:08,394 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/scoreboard.sv.tpl' 2024-01-19 02:41:08,394 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/seq_list.sv.tpl' 2024-01-19 02:41:08,395 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sim.core.tpl' 2024-01-19 02:41:08,395 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sim_cfg.hjson.tpl' 2024-01-19 02:41:08,395 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/smoke_vseq.sv.tpl' 2024-01-19 02:41:08,395 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/sva.core.tpl' 2024-01-19 02:41:08,396 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/tb.sv.tpl' 2024-01-19 02:41:08,396 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/test.core.tpl' 2024-01-19 02:41:08,396 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/test_pkg.sv.tpl' 2024-01-19 02:41:08,397 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/testplan.hjson.tpl' 2024-01-19 02:41:08,397 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/uvmdvgen.py' 2024-01-19 02:41:08,397 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/virtual_sequencer.sv.tpl' 2024-01-19 02:41:08,398 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/vseq_list.sv.tpl' 2024-01-19 02:41:08,398 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/patches/eembc_coremark/0001-no-minimum-run-time.patch' 2024-01-19 02:41:08,398 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/patches/google_riscv-dv/0001-csr-test-start-addr.patch' 2024-01-19 02:41:08,399 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_lib/0001-use-ibex-bus-params.patch' 2024-01-19 02:41:08,399 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_tools/0001-common-sim-cfg.patch' 2024-01-19 02:41:08,399 wheel INFO adding 'pythondata_cpu_ibex/system_verilog/vendor/patches/lowrisc_ip/dv_utils/0001-use-ibex-bus-params.patch' 2024-01-19 02:41:08,400 wheel INFO adding 'pythondata_cpu_ibex-0.0.post2214.dist-info/LICENSE' 2024-01-19 02:41:08,400 wheel INFO adding 'pythondata_cpu_ibex-0.0.post2214.dist-info/METADATA' 2024-01-19 02:41:08,401 wheel INFO adding 'pythondata_cpu_ibex-0.0.post2214.dist-info/WHEEL' 2024-01-19 02:41:08,401 wheel INFO adding 'pythondata_cpu_ibex-0.0.post2214.dist-info/top_level.txt' 2024-01-19 02:41:08,410 wheel INFO adding 'pythondata_cpu_ibex-0.0.post2214.dist-info/RECORD' 2024-01-19 02:41:08,416 wheel INFO removing build/bdist.linux-x86_64/wheel 2024-01-19 02:41:08,468 gpep517 INFO The backend produced dist/pythondata_cpu_ibex-0.0.post2214-py3-none-any.whl pythondata_cpu_ibex-0.0.post2214-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-lm32 2024-01-19 02:41:08,763 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 02:41:08,803 root INFO running bdist_wheel 2024-01-19 02:41:08,825 root INFO running build 2024-01-19 02:41:08,825 root INFO running build_py 2024-01-19 02:41:08,828 root INFO creating build 2024-01-19 02:41:08,829 root INFO creating build/lib 2024-01-19 02:41:08,829 root INFO creating build/lib/pythondata_cpu_lm32 2024-01-19 02:41:08,829 root INFO copying pythondata_cpu_lm32/__init__.py -> build/lib/pythondata_cpu_lm32 2024-01-19 02:41:08,830 root INFO running egg_info 2024-01-19 02:41:08,830 root INFO creating pythondata_cpu_lm32.egg-info 2024-01-19 02:41:08,832 root INFO writing pythondata_cpu_lm32.egg-info/PKG-INFO 2024-01-19 02:41:08,833 root INFO writing dependency_links to pythondata_cpu_lm32.egg-info/dependency_links.txt 2024-01-19 02:41:08,833 root INFO writing top-level names to pythondata_cpu_lm32.egg-info/top_level.txt 2024-01-19 02:41:08,833 root INFO writing manifest file 'pythondata_cpu_lm32.egg-info/SOURCES.txt' 2024-01-19 02:41:08,838 root INFO reading manifest file 'pythondata_cpu_lm32.egg-info/SOURCES.txt' 2024-01-19 02:41:08,838 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 02:41:08,840 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 02:41:08,843 root INFO writing manifest file 'pythondata_cpu_lm32.egg-info/SOURCES.txt' 2024-01-19 02:41:08,847 root INFO creating build/lib/pythondata_cpu_lm32/verilog 2024-01-19 02:41:08,848 root INFO copying pythondata_cpu_lm32/verilog/LICENSE.LATTICE -> build/lib/pythondata_cpu_lm32/verilog 2024-01-19 02:41:08,848 root INFO copying pythondata_cpu_lm32/verilog/README -> build/lib/pythondata_cpu_lm32/verilog 2024-01-19 02:41:08,849 root INFO creating build/lib/pythondata_cpu_lm32/verilog/test 2024-01-19 02:41:08,849 root INFO copying pythondata_cpu_lm32/verilog/test/.gitignore -> build/lib/pythondata_cpu_lm32/verilog/test 2024-01-19 02:41:08,849 root INFO copying pythondata_cpu_lm32/verilog/test/Makefile -> build/lib/pythondata_cpu_lm32/verilog/test 2024-01-19 02:41:08,850 root INFO copying pythondata_cpu_lm32/verilog/test/crt.S -> build/lib/pythondata_cpu_lm32/verilog/test 2024-01-19 02:41:08,850 root INFO copying pythondata_cpu_lm32/verilog/test/hello_world.c -> build/lib/pythondata_cpu_lm32/verilog/test 2024-01-19 02:41:08,850 root INFO copying pythondata_cpu_lm32/verilog/test/linker.ld -> build/lib/pythondata_cpu_lm32/verilog/test 2024-01-19 02:41:08,851 root INFO copying pythondata_cpu_lm32/verilog/test/lm32_config.v -> build/lib/pythondata_cpu_lm32/verilog/test 2024-01-19 02:41:08,851 root INFO copying pythondata_cpu_lm32/verilog/test/pipe1.S -> build/lib/pythondata_cpu_lm32/verilog/test 2024-01-19 02:41:08,851 root INFO copying pythondata_cpu_lm32/verilog/test/tb_lm32_system.v -> build/lib/pythondata_cpu_lm32/verilog/test 2024-01-19 02:41:08,852 root INFO creating build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,852 root INFO copying pythondata_cpu_lm32/verilog/rtl/jtag_cores.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,852 root INFO copying pythondata_cpu_lm32/verilog/rtl/jtag_tap_spartan6.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,853 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_adder.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,853 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_addsub.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,853 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_config.v.sample -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,854 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_cpu.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,854 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_dcache.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,855 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_debug.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,855 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_decoder.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,855 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_dp_ram.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,856 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_dtlb.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,856 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_icache.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,857 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_include.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,857 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_instruction_unit.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,857 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_interrupt.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,858 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_itlb.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,858 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_jtag.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,859 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_load_store_unit.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,859 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_logic_op.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,859 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_mc_arithmetic.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,860 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_multiplier.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,860 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_ram.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,860 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_shifter.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,861 root INFO copying pythondata_cpu_lm32/verilog/rtl/lm32_top.v -> build/lib/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,861 root INFO creating build/lib/pythondata_cpu_lm32/verilog/doc 2024-01-19 02:41:08,861 root INFO copying pythondata_cpu_lm32/verilog/doc/Makefile -> build/lib/pythondata_cpu_lm32/verilog/doc 2024-01-19 02:41:08,862 root INFO copying pythondata_cpu_lm32/verilog/doc/mmu.rst -> build/lib/pythondata_cpu_lm32/verilog/doc 2024-01-19 02:41:08,862 root INFO creating build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,862 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/.gitignore -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,862 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/Makefile -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,863 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/crt.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,863 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/linker.ld -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,863 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/macros.inc -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,864 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_add.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,864 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_addi.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,864 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_and.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,865 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_andhi.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,865 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_andi.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,865 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_b.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,866 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_be.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,866 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_bg.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,866 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_bge.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,866 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_bgeu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,867 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_bgu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,867 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_bi.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,867 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_bne.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,868 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_break.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,868 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_bret.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,868 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_call.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,868 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_calli.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,869 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpe.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,869 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpei.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,869 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpg.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,870 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpge.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,870 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpgei.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,870 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpgeu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,870 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpgeui.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,871 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpgi.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,871 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpgu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,871 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpgui.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,872 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpne.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,872 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_cmpnei.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,872 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_divu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,873 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_eret.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,873 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_lb.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,873 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_lbu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,873 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_lh.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,874 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_lhu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,874 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_lw.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,874 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_mmu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,875 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_modu.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,875 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_mul.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,875 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_muli.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,875 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_nor.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,876 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_nori.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,876 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_or.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,876 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_orhi.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,877 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_ori.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,877 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_ret.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,877 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sb.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,878 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_scall.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,878 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sextb.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,878 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sexth.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,878 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sh.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,879 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sl.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,879 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sli.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,879 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sr.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,880 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sri.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,880 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sru.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,880 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_srui.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,880 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sub.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,881 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_sw.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,881 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_xnor.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,881 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_xnori.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,882 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_xor.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,882 root INFO copying pythondata_cpu_lm32/verilog/test/unittests/test_xori.S -> build/lib/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,889 wheel INFO installing to build/bdist.linux-x86_64/wheel 2024-01-19 02:41:08,889 root INFO running install 2024-01-19 02:41:08,901 root INFO running install_lib 2024-01-19 02:41:08,903 root INFO creating build/bdist.linux-x86_64 2024-01-19 02:41:08,904 root INFO creating build/bdist.linux-x86_64/wheel 2024-01-19 02:41:08,904 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32 2024-01-19 02:41:08,904 root INFO copying build/lib/pythondata_cpu_lm32/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32 2024-01-19 02:41:08,904 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog 2024-01-19 02:41:08,905 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test 2024-01-19 02:41:08,905 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,905 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_call.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,905 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpe.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,906 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_ori.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,906 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_bge.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,906 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_or.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,906 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpnei.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,907 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpgei.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,907 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_lb.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,907 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_add.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,908 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_bgeu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,908 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_mul.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,908 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_xnori.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,908 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sb.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,909 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_lhu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,909 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_and.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,909 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_muli.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,909 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpne.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,910 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpgu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,910 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,910 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_lh.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,910 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpei.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,911 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_nori.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,911 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_bret.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,911 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_srui.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,911 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_xnor.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,912 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpgi.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,912 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/crt.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,912 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_modu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,912 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpgui.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,913 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_scall.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,913 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_bi.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,913 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_orhi.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,913 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_lw.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,914 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sh.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,914 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_calli.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,914 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpg.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,915 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_b.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,915 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpgeu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,915 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sli.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,915 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sru.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,916 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_bne.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,916 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_be.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,916 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sextb.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,916 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sw.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,917 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_divu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,917 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_lbu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,917 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/linker.ld -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,917 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_nor.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,918 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_eret.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,918 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpgeui.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,918 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sl.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,918 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_mmu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,919 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_cmpge.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,919 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_bg.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,919 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_bgu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,920 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sri.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,920 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/macros.inc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,920 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_andi.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,920 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_break.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,921 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sub.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,921 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,921 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sexth.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,921 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_xori.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,922 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_ret.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,922 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_addi.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,922 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_andhi.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,922 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_xor.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,923 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/unittests/test_sr.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test/unittests 2024-01-19 02:41:08,923 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/pipe1.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test 2024-01-19 02:41:08,923 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test 2024-01-19 02:41:08,924 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/crt.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test 2024-01-19 02:41:08,924 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/hello_world.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test 2024-01-19 02:41:08,924 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/linker.ld -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test 2024-01-19 02:41:08,924 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/lm32_config.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test 2024-01-19 02:41:08,925 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/tb_lm32_system.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test 2024-01-19 02:41:08,925 root INFO copying build/lib/pythondata_cpu_lm32/verilog/test/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/test 2024-01-19 02:41:08,925 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,925 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/jtag_tap_spartan6.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,926 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_dtlb.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,926 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_include.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,926 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_addsub.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,926 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_cpu.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,927 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_shifter.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,927 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_config.v.sample -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,928 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_load_store_unit.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,928 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_ram.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,928 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_dp_ram.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,928 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_instruction_unit.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,929 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_decoder.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,929 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_logic_op.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,929 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_multiplier.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,930 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_jtag.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,930 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_debug.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,930 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_dcache.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,930 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/jtag_cores.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,931 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_top.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,931 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_adder.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,931 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_mc_arithmetic.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,932 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_interrupt.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,932 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_itlb.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,932 root INFO copying build/lib/pythondata_cpu_lm32/verilog/rtl/lm32_icache.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/rtl 2024-01-19 02:41:08,932 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/doc 2024-01-19 02:41:08,933 root INFO copying build/lib/pythondata_cpu_lm32/verilog/doc/mmu.rst -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/doc 2024-01-19 02:41:08,933 root INFO copying build/lib/pythondata_cpu_lm32/verilog/doc/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog/doc 2024-01-19 02:41:08,933 root INFO copying build/lib/pythondata_cpu_lm32/verilog/README -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog 2024-01-19 02:41:08,933 root INFO copying build/lib/pythondata_cpu_lm32/verilog/LICENSE.LATTICE -> build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32/verilog 2024-01-19 02:41:08,934 root INFO running install_egg_info 2024-01-19 02:41:08,939 root INFO Copying pythondata_cpu_lm32.egg-info to build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32-0.0.post106-py3.11.egg-info 2024-01-19 02:41:08,940 root INFO running install_scripts 2024-01-19 02:41:08,942 wheel INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_lm32-0.0.post106.dist-info/WHEEL 2024-01-19 02:41:08,943 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/pythondata-cpu-lm32-2020.04/dist/.tmp-nxsrr02p/pythondata_cpu_lm32-0.0.post106-py3-none-any.whl' and adding 'build/bdist.linux-x86_64/wheel' to it 2024-01-19 02:41:08,943 wheel INFO adding 'pythondata_cpu_lm32/__init__.py' 2024-01-19 02:41:08,944 wheel INFO adding 'pythondata_cpu_lm32/verilog/LICENSE.LATTICE' 2024-01-19 02:41:08,944 wheel INFO adding 'pythondata_cpu_lm32/verilog/README' 2024-01-19 02:41:08,945 wheel INFO adding 'pythondata_cpu_lm32/verilog/doc/Makefile' 2024-01-19 02:41:08,945 wheel INFO adding 'pythondata_cpu_lm32/verilog/doc/mmu.rst' 2024-01-19 02:41:08,946 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/jtag_cores.v' 2024-01-19 02:41:08,946 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/jtag_tap_spartan6.v' 2024-01-19 02:41:08,947 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_adder.v' 2024-01-19 02:41:08,947 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_addsub.v' 2024-01-19 02:41:08,947 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_config.v.sample' 2024-01-19 02:41:08,948 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_cpu.v' 2024-01-19 02:41:08,949 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_dcache.v' 2024-01-19 02:41:08,949 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_debug.v' 2024-01-19 02:41:08,950 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_decoder.v' 2024-01-19 02:41:08,950 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_dp_ram.v' 2024-01-19 02:41:08,951 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_dtlb.v' 2024-01-19 02:41:08,951 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_icache.v' 2024-01-19 02:41:08,952 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_include.v' 2024-01-19 02:41:08,952 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_instruction_unit.v' 2024-01-19 02:41:08,953 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_interrupt.v' 2024-01-19 02:41:08,953 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_itlb.v' 2024-01-19 02:41:08,953 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_jtag.v' 2024-01-19 02:41:08,954 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_load_store_unit.v' 2024-01-19 02:41:08,954 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_logic_op.v' 2024-01-19 02:41:08,955 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_mc_arithmetic.v' 2024-01-19 02:41:08,955 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_multiplier.v' 2024-01-19 02:41:08,955 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_ram.v' 2024-01-19 02:41:08,956 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_shifter.v' 2024-01-19 02:41:08,956 wheel INFO adding 'pythondata_cpu_lm32/verilog/rtl/lm32_top.v' 2024-01-19 02:41:08,957 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/.gitignore' 2024-01-19 02:41:08,957 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/Makefile' 2024-01-19 02:41:08,957 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/crt.S' 2024-01-19 02:41:08,957 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/hello_world.c' 2024-01-19 02:41:08,958 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/linker.ld' 2024-01-19 02:41:08,958 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/lm32_config.v' 2024-01-19 02:41:08,958 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/pipe1.S' 2024-01-19 02:41:08,958 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/tb_lm32_system.v' 2024-01-19 02:41:08,959 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/.gitignore' 2024-01-19 02:41:08,959 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/Makefile' 2024-01-19 02:41:08,960 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/crt.S' 2024-01-19 02:41:08,960 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/linker.ld' 2024-01-19 02:41:08,960 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/macros.inc' 2024-01-19 02:41:08,960 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_add.S' 2024-01-19 02:41:08,961 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_addi.S' 2024-01-19 02:41:08,961 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_and.S' 2024-01-19 02:41:08,961 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_andhi.S' 2024-01-19 02:41:08,962 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_andi.S' 2024-01-19 02:41:08,962 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_b.S' 2024-01-19 02:41:08,962 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_be.S' 2024-01-19 02:41:08,963 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_bg.S' 2024-01-19 02:41:08,963 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_bge.S' 2024-01-19 02:41:08,963 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_bgeu.S' 2024-01-19 02:41:08,964 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_bgu.S' 2024-01-19 02:41:08,964 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_bi.S' 2024-01-19 02:41:08,964 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_bne.S' 2024-01-19 02:41:08,964 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_break.S' 2024-01-19 02:41:08,965 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_bret.S' 2024-01-19 02:41:08,965 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_call.S' 2024-01-19 02:41:08,965 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_calli.S' 2024-01-19 02:41:08,966 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpe.S' 2024-01-19 02:41:08,966 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpei.S' 2024-01-19 02:41:08,966 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpg.S' 2024-01-19 02:41:08,967 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpge.S' 2024-01-19 02:41:08,967 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpgei.S' 2024-01-19 02:41:08,967 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpgeu.S' 2024-01-19 02:41:08,968 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpgeui.S' 2024-01-19 02:41:08,968 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpgi.S' 2024-01-19 02:41:08,968 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpgu.S' 2024-01-19 02:41:08,969 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpgui.S' 2024-01-19 02:41:08,969 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpne.S' 2024-01-19 02:41:08,969 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_cmpnei.S' 2024-01-19 02:41:08,970 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_divu.S' 2024-01-19 02:41:08,970 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_eret.S' 2024-01-19 02:41:08,970 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_lb.S' 2024-01-19 02:41:08,970 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_lbu.S' 2024-01-19 02:41:08,971 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_lh.S' 2024-01-19 02:41:08,971 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_lhu.S' 2024-01-19 02:41:08,971 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_lw.S' 2024-01-19 02:41:08,972 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_mmu.S' 2024-01-19 02:41:08,972 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_modu.S' 2024-01-19 02:41:08,972 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_mul.S' 2024-01-19 02:41:08,973 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_muli.S' 2024-01-19 02:41:08,973 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_nor.S' 2024-01-19 02:41:08,973 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_nori.S' 2024-01-19 02:41:08,974 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_or.S' 2024-01-19 02:41:08,974 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_orhi.S' 2024-01-19 02:41:08,974 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_ori.S' 2024-01-19 02:41:08,975 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_ret.S' 2024-01-19 02:41:08,975 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sb.S' 2024-01-19 02:41:08,975 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_scall.S' 2024-01-19 02:41:08,975 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sextb.S' 2024-01-19 02:41:08,976 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sexth.S' 2024-01-19 02:41:08,976 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sh.S' 2024-01-19 02:41:08,976 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sl.S' 2024-01-19 02:41:08,977 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sli.S' 2024-01-19 02:41:08,977 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sr.S' 2024-01-19 02:41:08,977 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sri.S' 2024-01-19 02:41:08,978 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sru.S' 2024-01-19 02:41:08,978 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_srui.S' 2024-01-19 02:41:08,978 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sub.S' 2024-01-19 02:41:08,978 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_sw.S' 2024-01-19 02:41:08,979 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_xnor.S' 2024-01-19 02:41:08,979 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_xnori.S' 2024-01-19 02:41:08,979 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_xor.S' 2024-01-19 02:41:08,980 wheel INFO adding 'pythondata_cpu_lm32/verilog/test/unittests/test_xori.S' 2024-01-19 02:41:08,980 wheel INFO adding 'pythondata_cpu_lm32-0.0.post106.dist-info/METADATA' 2024-01-19 02:41:08,980 wheel INFO adding 'pythondata_cpu_lm32-0.0.post106.dist-info/WHEEL' 2024-01-19 02:41:08,981 wheel INFO adding 'pythondata_cpu_lm32-0.0.post106.dist-info/top_level.txt' 2024-01-19 02:41:08,981 wheel INFO adding 'pythondata_cpu_lm32-0.0.post106.dist-info/RECORD' 2024-01-19 02:41:08,982 wheel INFO removing build/bdist.linux-x86_64/wheel 2024-01-19 02:41:08,985 gpep517 INFO The backend produced dist/pythondata_cpu_lm32-0.0.post106-py3-none-any.whl pythondata_cpu_lm32-0.0.post106-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-marocchino 2024-01-19 02:41:09,295 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 02:41:09,335 root INFO running bdist_wheel 2024-01-19 02:41:09,358 root INFO running build 2024-01-19 02:41:09,358 root INFO running build_py 2024-01-19 02:41:09,361 root INFO creating build 2024-01-19 02:41:09,361 root INFO creating build/lib 2024-01-19 02:41:09,361 root INFO creating build/lib/pythondata_cpu_marocchino 2024-01-19 02:41:09,361 root INFO copying pythondata_cpu_marocchino/__init__.py -> build/lib/pythondata_cpu_marocchino 2024-01-19 02:41:09,363 root INFO running egg_info 2024-01-19 02:41:09,363 root INFO creating pythondata_cpu_marocchino.egg-info 2024-01-19 02:41:09,365 root INFO writing pythondata_cpu_marocchino.egg-info/PKG-INFO 2024-01-19 02:41:09,366 root INFO writing dependency_links to pythondata_cpu_marocchino.egg-info/dependency_links.txt 2024-01-19 02:41:09,366 root INFO writing top-level names to pythondata_cpu_marocchino.egg-info/top_level.txt 2024-01-19 02:41:09,366 root INFO writing manifest file 'pythondata_cpu_marocchino.egg-info/SOURCES.txt' 2024-01-19 02:41:09,371 root INFO reading manifest file 'pythondata_cpu_marocchino.egg-info/SOURCES.txt' 2024-01-19 02:41:09,371 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 02:41:09,373 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 02:41:09,373 root INFO adding license file 'LICENSE' 2024-01-19 02:41:09,374 root INFO writing manifest file 'pythondata_cpu_marocchino.egg-info/SOURCES.txt' 2024-01-19 02:41:09,378 root INFO creating build/lib/pythondata_cpu_marocchino/verilog 2024-01-19 02:41:09,378 root INFO copying pythondata_cpu_marocchino/verilog/.travis.yml -> build/lib/pythondata_cpu_marocchino/verilog 2024-01-19 02:41:09,378 root INFO copying pythondata_cpu_marocchino/verilog/Jenkinsfile -> build/lib/pythondata_cpu_marocchino/verilog 2024-01-19 02:41:09,379 root INFO copying pythondata_cpu_marocchino/verilog/LICENSE -> build/lib/pythondata_cpu_marocchino/verilog 2024-01-19 02:41:09,379 root INFO copying pythondata_cpu_marocchino/verilog/README.md -> build/lib/pythondata_cpu_marocchino/verilog 2024-01-19 02:41:09,379 root INFO copying pythondata_cpu_marocchino/verilog/or1k_marocchino.core -> build/lib/pythondata_cpu_marocchino/verilog 2024-01-19 02:41:09,380 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/.travis 2024-01-19 02:41:09,380 root INFO copying pythondata_cpu_marocchino/verilog/.travis/run-or1k-tests.sh -> build/lib/pythondata_cpu_marocchino/verilog/.travis 2024-01-19 02:41:09,380 root INFO copying pythondata_cpu_marocchino/verilog/.travis/run-verilator.sh -> build/lib/pythondata_cpu_marocchino/verilog/.travis 2024-01-19 02:41:09,381 root INFO copying pythondata_cpu_marocchino/verilog/.travis/test.sh -> build/lib/pythondata_cpu_marocchino/verilog/.travis 2024-01-19 02:41:09,381 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/bench 2024-01-19 02:41:09,381 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/bench/verilog 2024-01-19 02:41:09,381 root INFO copying pythondata_cpu_marocchino/verilog/bench/verilog/or1k_marocchino_monitor.v -> build/lib/pythondata_cpu_marocchino/verilog/bench/verilog 2024-01-19 02:41:09,382 root INFO copying pythondata_cpu_marocchino/verilog/bench/verilog/or1k_marocchino_traceport_monitor.v -> build/lib/pythondata_cpu_marocchino/verilog/bench/verilog 2024-01-19 02:41:09,382 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/rtl 2024-01-19 02:41:09,382 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,383 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_cfgrs.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,383 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_defines.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,383 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_dpram_en_w1st.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,384 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_bus_if_wb32.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,384 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_cache_lru.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,384 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_cpu.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,385 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ctrl.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,386 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_dcache.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,386 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_decode.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,387 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_dmmu.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,387 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_fetch.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,387 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_icache.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,388 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_immu.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,388 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_1clk.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,389 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_div.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,389 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_mul.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,389 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_lsu.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,390 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ocb.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,390 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_oman.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,391 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_pic.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,391 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rat_cell.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,391 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rf.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,392 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rsrvs.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,392 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ticktimer.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,393 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_top.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,393 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_spram_en_w1st.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,393 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_sprs.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,394 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_utils.vh -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,394 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 02:41:09,394 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_addsub.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 02:41:09,394 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_cmp.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 02:41:09,395 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_div.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 02:41:09,395 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_f2i.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 02:41:09,395 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_i2f.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 02:41:09,396 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_mul.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 02:41:09,396 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_muldiv.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 02:41:09,396 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_rnd.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 02:41:09,397 root INFO copying pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_top.v -> build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 02:41:09,397 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/doc 2024-01-19 02:41:09,397 root INFO creating build/lib/pythondata_cpu_marocchino/verilog/doc/readme 2024-01-19 02:41:09,398 root INFO copying pythondata_cpu_marocchino/verilog/doc/readme/fp_comparisons_table.odt -> build/lib/pythondata_cpu_marocchino/verilog/doc/readme 2024-01-19 02:41:09,398 root INFO copying pythondata_cpu_marocchino/verilog/doc/readme/marrochino_1_goal.txt -> build/lib/pythondata_cpu_marocchino/verilog/doc/readme 2024-01-19 02:41:09,398 root INFO copying pythondata_cpu_marocchino/verilog/doc/readme/marrochino_2_status.txt -> build/lib/pythondata_cpu_marocchino/verilog/doc/readme 2024-01-19 02:41:09,398 root INFO copying pythondata_cpu_marocchino/verilog/doc/readme/marrochino_3_how_to.txt -> build/lib/pythondata_cpu_marocchino/verilog/doc/readme 2024-01-19 02:41:09,405 wheel INFO installing to build/bdist.linux-x86_64/wheel 2024-01-19 02:41:09,406 root INFO running install 2024-01-19 02:41:09,418 root INFO running install_lib 2024-01-19 02:41:09,421 root INFO creating build/bdist.linux-x86_64 2024-01-19 02:41:09,421 root INFO creating build/bdist.linux-x86_64/wheel 2024-01-19 02:41:09,421 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino 2024-01-19 02:41:09,421 root INFO copying build/lib/pythondata_cpu_marocchino/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino 2024-01-19 02:41:09,421 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog 2024-01-19 02:41:09,422 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/.travis 2024-01-19 02:41:09,422 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/.travis/run-verilator.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/.travis 2024-01-19 02:41:09,422 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/.travis/test.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/.travis 2024-01-19 02:41:09,422 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/.travis/run-or1k-tests.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/.travis 2024-01-19 02:41:09,423 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog 2024-01-19 02:41:09,423 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/LICENSE -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog 2024-01-19 02:41:09,423 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/bench 2024-01-19 02:41:09,423 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/bench/verilog 2024-01-19 02:41:09,424 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/bench/verilog/or1k_marocchino_traceport_monitor.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/bench/verilog 2024-01-19 02:41:09,424 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/bench/verilog/or1k_marocchino_monitor.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/bench/verilog 2024-01-19 02:41:09,424 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl 2024-01-19 02:41:09,425 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,425 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_defines.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,425 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_icache.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,425 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ocb.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,426 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_cache_lru.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,426 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_utils.vh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,426 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ticktimer.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,427 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_div.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,427 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_top.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,427 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_fetch.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,428 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ctrl.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,428 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_cfgrs.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,428 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_pic.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,429 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_cpu.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,429 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_decode.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,429 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_bus_if_wb32.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,430 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_sprs.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,430 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 02:41:09,430 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_top.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 02:41:09,431 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_rnd.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 02:41:09,431 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_f2i.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 02:41:09,431 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_addsub.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 02:41:09,431 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_mul.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 02:41:09,432 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_cmp.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 02:41:09,432 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_muldiv.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 02:41:09,432 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_i2f.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 02:41:09,433 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_div.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino 2024-01-19 02:41:09,433 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_dpram_en_w1st.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,433 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_oman.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,434 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_dmmu.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,434 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_dcache.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,434 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_mul.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,434 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rat_cell.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,435 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_immu.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,435 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_1clk.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,435 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_lsu.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,436 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rf.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,436 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_spram_en_w1st.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,436 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rsrvs.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/rtl/verilog 2024-01-19 02:41:09,437 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/doc 2024-01-19 02:41:09,437 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/doc/readme 2024-01-19 02:41:09,437 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/doc/readme/marrochino_2_status.txt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/doc/readme 2024-01-19 02:41:09,437 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/doc/readme/fp_comparisons_table.odt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/doc/readme 2024-01-19 02:41:09,438 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/doc/readme/marrochino_1_goal.txt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/doc/readme 2024-01-19 02:41:09,438 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/doc/readme/marrochino_3_how_to.txt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog/doc/readme 2024-01-19 02:41:09,438 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/or1k_marocchino.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog 2024-01-19 02:41:09,439 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/.travis.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog 2024-01-19 02:41:09,439 root INFO copying build/lib/pythondata_cpu_marocchino/verilog/Jenkinsfile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino/verilog 2024-01-19 02:41:09,439 root INFO running install_egg_info 2024-01-19 02:41:09,444 root INFO Copying pythondata_cpu_marocchino.egg-info to build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino-0.0.post209-py3.11.egg-info 2024-01-19 02:41:09,445 root INFO running install_scripts 2024-01-19 02:41:09,448 wheel INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_marocchino-0.0.post209.dist-info/WHEEL 2024-01-19 02:41:09,448 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/pythondata-cpu-marocchino-2023.12/dist/.tmp-m1k94i8w/pythondata_cpu_marocchino-0.0.post209-py3-none-any.whl' and adding 'build/bdist.linux-x86_64/wheel' to it 2024-01-19 02:41:09,449 wheel INFO adding 'pythondata_cpu_marocchino/__init__.py' 2024-01-19 02:41:09,449 wheel INFO adding 'pythondata_cpu_marocchino/verilog/.travis.yml' 2024-01-19 02:41:09,450 wheel INFO adding 'pythondata_cpu_marocchino/verilog/Jenkinsfile' 2024-01-19 02:41:09,450 wheel INFO adding 'pythondata_cpu_marocchino/verilog/LICENSE' 2024-01-19 02:41:09,450 wheel INFO adding 'pythondata_cpu_marocchino/verilog/README.md' 2024-01-19 02:41:09,451 wheel INFO adding 'pythondata_cpu_marocchino/verilog/or1k_marocchino.core' 2024-01-19 02:41:09,451 wheel INFO adding 'pythondata_cpu_marocchino/verilog/.travis/run-or1k-tests.sh' 2024-01-19 02:41:09,451 wheel INFO adding 'pythondata_cpu_marocchino/verilog/.travis/run-verilator.sh' 2024-01-19 02:41:09,452 wheel INFO adding 'pythondata_cpu_marocchino/verilog/.travis/test.sh' 2024-01-19 02:41:09,452 wheel INFO adding 'pythondata_cpu_marocchino/verilog/bench/verilog/or1k_marocchino_monitor.v' 2024-01-19 02:41:09,453 wheel INFO adding 'pythondata_cpu_marocchino/verilog/bench/verilog/or1k_marocchino_traceport_monitor.v' 2024-01-19 02:41:09,453 wheel INFO adding 'pythondata_cpu_marocchino/verilog/doc/readme/fp_comparisons_table.odt' 2024-01-19 02:41:09,454 wheel INFO adding 'pythondata_cpu_marocchino/verilog/doc/readme/marrochino_1_goal.txt' 2024-01-19 02:41:09,454 wheel INFO adding 'pythondata_cpu_marocchino/verilog/doc/readme/marrochino_2_status.txt' 2024-01-19 02:41:09,454 wheel INFO adding 'pythondata_cpu_marocchino/verilog/doc/readme/marrochino_3_how_to.txt' 2024-01-19 02:41:09,455 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_cfgrs.v' 2024-01-19 02:41:09,455 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_defines.v' 2024-01-19 02:41:09,456 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_dpram_en_w1st.v' 2024-01-19 02:41:09,456 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_bus_if_wb32.v' 2024-01-19 02:41:09,456 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_cache_lru.v' 2024-01-19 02:41:09,457 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_cpu.v' 2024-01-19 02:41:09,458 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ctrl.v' 2024-01-19 02:41:09,459 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_dcache.v' 2024-01-19 02:41:09,460 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_decode.v' 2024-01-19 02:41:09,460 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_dmmu.v' 2024-01-19 02:41:09,461 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_fetch.v' 2024-01-19 02:41:09,461 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_icache.v' 2024-01-19 02:41:09,462 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_immu.v' 2024-01-19 02:41:09,462 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_1clk.v' 2024-01-19 02:41:09,463 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_div.v' 2024-01-19 02:41:09,463 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_int_mul.v' 2024-01-19 02:41:09,464 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_lsu.v' 2024-01-19 02:41:09,464 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ocb.v' 2024-01-19 02:41:09,465 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_oman.v' 2024-01-19 02:41:09,466 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_pic.v' 2024-01-19 02:41:09,466 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rat_cell.v' 2024-01-19 02:41:09,466 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rf.v' 2024-01-19 02:41:09,467 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_rsrvs.v' 2024-01-19 02:41:09,468 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_ticktimer.v' 2024-01-19 02:41:09,468 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_marocchino_top.v' 2024-01-19 02:41:09,468 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_spram_en_w1st.v' 2024-01-19 02:41:09,469 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_sprs.v' 2024-01-19 02:41:09,469 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/or1k_utils.vh' 2024-01-19 02:41:09,470 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_addsub.v' 2024-01-19 02:41:09,470 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_cmp.v' 2024-01-19 02:41:09,470 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_div.v' 2024-01-19 02:41:09,471 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_f2i.v' 2024-01-19 02:41:09,471 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_i2f.v' 2024-01-19 02:41:09,472 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_mul.v' 2024-01-19 02:41:09,472 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_muldiv.v' 2024-01-19 02:41:09,473 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_rnd.v' 2024-01-19 02:41:09,474 wheel INFO adding 'pythondata_cpu_marocchino/verilog/rtl/verilog/pfpu_marocchino/pfpu_marocchino_top.v' 2024-01-19 02:41:09,474 wheel INFO adding 'pythondata_cpu_marocchino-0.0.post209.dist-info/LICENSE' 2024-01-19 02:41:09,475 wheel INFO adding 'pythondata_cpu_marocchino-0.0.post209.dist-info/METADATA' 2024-01-19 02:41:09,475 wheel INFO adding 'pythondata_cpu_marocchino-0.0.post209.dist-info/WHEEL' 2024-01-19 02:41:09,475 wheel INFO adding 'pythondata_cpu_marocchino-0.0.post209.dist-info/top_level.txt' 2024-01-19 02:41:09,476 wheel INFO adding 'pythondata_cpu_marocchino-0.0.post209.dist-info/RECORD' 2024-01-19 02:41:09,476 wheel INFO removing build/bdist.linux-x86_64/wheel 2024-01-19 02:41:09,479 gpep517 INFO The backend produced dist/pythondata_cpu_marocchino-0.0.post209-py3-none-any.whl pythondata_cpu_marocchino-0.0.post209-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-microwatt 2024-01-19 02:41:09,782 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 02:41:09,830 root INFO running bdist_wheel 2024-01-19 02:41:09,852 root INFO running build 2024-01-19 02:41:09,852 root INFO running build_py 2024-01-19 02:41:09,855 root INFO creating build 2024-01-19 02:41:09,856 root INFO creating build/lib 2024-01-19 02:41:09,856 root INFO creating build/lib/pythondata_cpu_microwatt 2024-01-19 02:41:09,856 root INFO copying pythondata_cpu_microwatt/__init__.py -> build/lib/pythondata_cpu_microwatt 2024-01-19 02:41:09,857 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:09,857 root INFO copying pythondata_cpu_microwatt/vhdl/run.py -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:09,862 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/liteeth 2024-01-19 02:41:09,862 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/fusesoc-add-files.py -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth 2024-01-19 02:41:09,863 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litesdcard 2024-01-19 02:41:09,863 root INFO copying pythondata_cpu_microwatt/vhdl/litesdcard/fusesoc-add-files.py -> build/lib/pythondata_cpu_microwatt/vhdl/litesdcard 2024-01-19 02:41:09,864 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:09,864 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/dependencies.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:09,864 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/bin2hex.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:09,865 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/test_micropython_long.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:09,865 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/test_micropython.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:09,865 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/gen_icache_tb.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:09,866 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/test_micropython_verilator_long.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:09,866 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/make_version_fusesoc.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:09,866 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/test_micropython_verilator.py -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:09,869 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram 2024-01-19 02:41:09,869 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 02:41:09,870 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/fusesoc-add-files.py -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 02:41:09,870 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 02:41:09,870 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/generate.py -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 02:41:09,871 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 02:41:09,872 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/bin2hex.py -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 02:41:09,873 root INFO running egg_info 2024-01-19 02:41:09,874 root INFO creating pythondata_cpu_microwatt.egg-info 2024-01-19 02:41:09,875 root INFO writing pythondata_cpu_microwatt.egg-info/PKG-INFO 2024-01-19 02:41:09,876 root INFO writing dependency_links to pythondata_cpu_microwatt.egg-info/dependency_links.txt 2024-01-19 02:41:09,877 root INFO writing top-level names to pythondata_cpu_microwatt.egg-info/top_level.txt 2024-01-19 02:41:09,877 root INFO writing manifest file 'pythondata_cpu_microwatt.egg-info/SOURCES.txt' 2024-01-19 02:41:09,898 root INFO reading manifest file 'pythondata_cpu_microwatt.egg-info/SOURCES.txt' 2024-01-19 02:41:09,898 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 02:41:09,940 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 02:41:09,940 root INFO adding license file 'LICENSE' 2024-01-19 02:41:09,975 root INFO writing manifest file 'pythondata_cpu_microwatt.egg-info/SOURCES.txt' 2024-01-19 02:41:10,056 root INFO copying pythondata_cpu_microwatt/vhdl/.gitignore -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,056 root INFO copying pythondata_cpu_microwatt/vhdl/LICENSE -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,056 root INFO copying pythondata_cpu_microwatt/vhdl/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,057 root INFO copying pythondata_cpu_microwatt/vhdl/README.md -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,057 root INFO copying pythondata_cpu_microwatt/vhdl/cache_ram.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,058 root INFO copying pythondata_cpu_microwatt/vhdl/common.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,058 root INFO copying pythondata_cpu_microwatt/vhdl/control.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,058 root INFO copying pythondata_cpu_microwatt/vhdl/core.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,059 root INFO copying pythondata_cpu_microwatt/vhdl/core_debug.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,059 root INFO copying pythondata_cpu_microwatt/vhdl/core_dram_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,059 root INFO copying pythondata_cpu_microwatt/vhdl/core_flash_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,060 root INFO copying pythondata_cpu_microwatt/vhdl/core_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,060 root INFO copying pythondata_cpu_microwatt/vhdl/countbits.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,060 root INFO copying pythondata_cpu_microwatt/vhdl/countbits_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,061 root INFO copying pythondata_cpu_microwatt/vhdl/cr_file.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,061 root INFO copying pythondata_cpu_microwatt/vhdl/crhelpers.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,061 root INFO copying pythondata_cpu_microwatt/vhdl/dcache.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,062 root INFO copying pythondata_cpu_microwatt/vhdl/dcache_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,062 root INFO copying pythondata_cpu_microwatt/vhdl/decode1.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,063 root INFO copying pythondata_cpu_microwatt/vhdl/decode2.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,063 root INFO copying pythondata_cpu_microwatt/vhdl/decode_types.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,064 root INFO copying pythondata_cpu_microwatt/vhdl/divider.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,064 root INFO copying pythondata_cpu_microwatt/vhdl/divider_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,064 root INFO copying pythondata_cpu_microwatt/vhdl/dmi_dtm_dummy.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,065 root INFO copying pythondata_cpu_microwatt/vhdl/dmi_dtm_ecp5.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,065 root INFO copying pythondata_cpu_microwatt/vhdl/dmi_dtm_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,065 root INFO copying pythondata_cpu_microwatt/vhdl/dmi_dtm_xilinx.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,066 root INFO copying pythondata_cpu_microwatt/vhdl/dram_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,066 root INFO copying pythondata_cpu_microwatt/vhdl/execute1.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,067 root INFO copying pythondata_cpu_microwatt/vhdl/fetch1.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,067 root INFO copying pythondata_cpu_microwatt/vhdl/foreign_random.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,067 root INFO copying pythondata_cpu_microwatt/vhdl/fpu.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,068 root INFO copying pythondata_cpu_microwatt/vhdl/git.vhdl.in -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,068 root INFO copying pythondata_cpu_microwatt/vhdl/glibc_random.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,068 root INFO copying pythondata_cpu_microwatt/vhdl/glibc_random_helpers.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,069 root INFO copying pythondata_cpu_microwatt/vhdl/gpio.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,069 root INFO copying pythondata_cpu_microwatt/vhdl/helpers.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,069 root INFO copying pythondata_cpu_microwatt/vhdl/icache.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,070 root INFO copying pythondata_cpu_microwatt/vhdl/icache_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,070 root INFO copying pythondata_cpu_microwatt/vhdl/icache_test.bin -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,070 root INFO copying pythondata_cpu_microwatt/vhdl/insn_helpers.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,071 root INFO copying pythondata_cpu_microwatt/vhdl/loadstore1.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,071 root INFO copying pythondata_cpu_microwatt/vhdl/logical.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,071 root INFO copying pythondata_cpu_microwatt/vhdl/microwatt.core -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,072 root INFO copying pythondata_cpu_microwatt/vhdl/mmu.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,072 root INFO copying pythondata_cpu_microwatt/vhdl/multiply-32s.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,072 root INFO copying pythondata_cpu_microwatt/vhdl/multiply.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,072 root INFO copying pythondata_cpu_microwatt/vhdl/multiply_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,073 root INFO copying pythondata_cpu_microwatt/vhdl/nonrandom.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,073 root INFO copying pythondata_cpu_microwatt/vhdl/plru_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,073 root INFO copying pythondata_cpu_microwatt/vhdl/plrufn.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,074 root INFO copying pythondata_cpu_microwatt/vhdl/pmu.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,074 root INFO copying pythondata_cpu_microwatt/vhdl/ppc_fx_insns.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,074 root INFO copying pythondata_cpu_microwatt/vhdl/predecode.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,075 root INFO copying pythondata_cpu_microwatt/vhdl/random.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,075 root INFO copying pythondata_cpu_microwatt/vhdl/register_file.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,075 root INFO copying pythondata_cpu_microwatt/vhdl/rotator.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,076 root INFO copying pythondata_cpu_microwatt/vhdl/rotator_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,076 root INFO copying pythondata_cpu_microwatt/vhdl/sim_16550_uart.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,076 root INFO copying pythondata_cpu_microwatt/vhdl/sim_bram.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,077 root INFO copying pythondata_cpu_microwatt/vhdl/sim_bram_helpers.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,077 root INFO copying pythondata_cpu_microwatt/vhdl/sim_bram_helpers_c.c -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,077 root INFO copying pythondata_cpu_microwatt/vhdl/sim_console.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,077 root INFO copying pythondata_cpu_microwatt/vhdl/sim_console_c.c -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,078 root INFO copying pythondata_cpu_microwatt/vhdl/sim_jtag.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,078 root INFO copying pythondata_cpu_microwatt/vhdl/sim_jtag_socket.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,078 root INFO copying pythondata_cpu_microwatt/vhdl/sim_jtag_socket_c.c -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,079 root INFO copying pythondata_cpu_microwatt/vhdl/sim_no_flash.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,079 root INFO copying pythondata_cpu_microwatt/vhdl/sim_pp_uart.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,079 root INFO copying pythondata_cpu_microwatt/vhdl/sim_vhpi_c.c -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,079 root INFO copying pythondata_cpu_microwatt/vhdl/sim_vhpi_c.h -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,080 root INFO copying pythondata_cpu_microwatt/vhdl/soc.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,080 root INFO copying pythondata_cpu_microwatt/vhdl/spi_flash_ctrl.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,080 root INFO copying pythondata_cpu_microwatt/vhdl/spi_rxtx.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,081 root INFO copying pythondata_cpu_microwatt/vhdl/sync_fifo.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,081 root INFO copying pythondata_cpu_microwatt/vhdl/syscon.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,081 root INFO copying pythondata_cpu_microwatt/vhdl/utils.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,082 root INFO copying pythondata_cpu_microwatt/vhdl/wishbone_arbiter.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,082 root INFO copying pythondata_cpu_microwatt/vhdl/wishbone_bram_tb.bin -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,082 root INFO copying pythondata_cpu_microwatt/vhdl/wishbone_bram_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,083 root INFO copying pythondata_cpu_microwatt/vhdl/wishbone_bram_wrapper.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,083 root INFO copying pythondata_cpu_microwatt/vhdl/wishbone_debug_master.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,083 root INFO copying pythondata_cpu_microwatt/vhdl/wishbone_types.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,083 root INFO copying pythondata_cpu_microwatt/vhdl/writeback.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,084 root INFO copying pythondata_cpu_microwatt/vhdl/xics.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,084 root INFO copying pythondata_cpu_microwatt/vhdl/xilinx-mult-32s.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,084 root INFO copying pythondata_cpu_microwatt/vhdl/xilinx-mult.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,085 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/.github 2024-01-19 02:41:10,085 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/.github/workflows 2024-01-19 02:41:10,085 root INFO copying pythondata_cpu_microwatt/vhdl/.github/workflows/test.yml -> build/lib/pythondata_cpu_microwatt/vhdl/.github/workflows 2024-01-19 02:41:10,085 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/constraints 2024-01-19 02:41:10,086 root INFO copying pythondata_cpu_microwatt/vhdl/constraints/ecp5-evn.lpf -> build/lib/pythondata_cpu_microwatt/vhdl/constraints 2024-01-19 02:41:10,086 root INFO copying pythondata_cpu_microwatt/vhdl/constraints/orange-crab-0.2.lpf -> build/lib/pythondata_cpu_microwatt/vhdl/constraints 2024-01-19 02:41:10,086 root INFO copying pythondata_cpu_microwatt/vhdl/constraints/orange-crab.lpf -> build/lib/pythondata_cpu_microwatt/vhdl/constraints 2024-01-19 02:41:10,086 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,087 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/LICENSE -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,087 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/acorn-cle-215.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,087 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/antmicro_artix_dc_scm.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,088 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/arty_a7.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,088 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/clk_gen_bypass.vhd -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,088 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/clk_gen_ecp5.vhd -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,088 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/clk_gen_mcmm.vhd -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,089 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/clk_gen_plle2.vhd -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,089 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/cmod_a7-35.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,089 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/firmware.hex -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,091 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/fpga-random.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,091 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/fpga-random.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,092 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/genesys2.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,092 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/hello_world.hex -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,092 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/main_bram.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,093 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/nexys-video.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,093 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/nexys_a7.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,093 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/pp_fifo.vhd -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,094 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/pp_soc_uart.vhd -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,094 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/pp_utilities.vhd -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,094 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/soc_reset.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,095 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/soc_reset_tb.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,095 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-acorn-cle-215.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,095 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-antmicro-artix-dc-scm.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,096 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-arty.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,096 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-generic.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,096 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-genesys2.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,097 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-nexys-video.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,097 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-orangecrab0.2.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,097 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/top-wukong-v2.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,098 root INFO copying pythondata_cpu_microwatt/vhdl/fpga/wukong-v2.xdc -> build/lib/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,098 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,099 root INFO copying pythondata_cpu_microwatt/vhdl/tests/1.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,099 root INFO copying pythondata_cpu_microwatt/vhdl/tests/1.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,099 root INFO copying pythondata_cpu_microwatt/vhdl/tests/10.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,100 root INFO copying pythondata_cpu_microwatt/vhdl/tests/10.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,100 root INFO copying pythondata_cpu_microwatt/vhdl/tests/100.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,101 root INFO copying pythondata_cpu_microwatt/vhdl/tests/100.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,101 root INFO copying pythondata_cpu_microwatt/vhdl/tests/1000.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,101 root INFO copying pythondata_cpu_microwatt/vhdl/tests/1000.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,102 root INFO copying pythondata_cpu_microwatt/vhdl/tests/101.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,102 root INFO copying pythondata_cpu_microwatt/vhdl/tests/101.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,103 root INFO copying pythondata_cpu_microwatt/vhdl/tests/102.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,103 root INFO copying pythondata_cpu_microwatt/vhdl/tests/102.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,104 root INFO copying pythondata_cpu_microwatt/vhdl/tests/103.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,104 root INFO copying pythondata_cpu_microwatt/vhdl/tests/103.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,104 root INFO copying pythondata_cpu_microwatt/vhdl/tests/104.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,105 root INFO copying pythondata_cpu_microwatt/vhdl/tests/104.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,105 root INFO copying pythondata_cpu_microwatt/vhdl/tests/105.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,106 root INFO copying pythondata_cpu_microwatt/vhdl/tests/105.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,106 root INFO copying pythondata_cpu_microwatt/vhdl/tests/106.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,106 root INFO copying pythondata_cpu_microwatt/vhdl/tests/106.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,107 root INFO copying pythondata_cpu_microwatt/vhdl/tests/107.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,107 root INFO copying pythondata_cpu_microwatt/vhdl/tests/107.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,108 root INFO copying pythondata_cpu_microwatt/vhdl/tests/108.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,108 root INFO copying pythondata_cpu_microwatt/vhdl/tests/108.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,108 root INFO copying pythondata_cpu_microwatt/vhdl/tests/109.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,109 root INFO copying pythondata_cpu_microwatt/vhdl/tests/109.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,109 root INFO copying pythondata_cpu_microwatt/vhdl/tests/11.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,110 root INFO copying pythondata_cpu_microwatt/vhdl/tests/11.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,110 root INFO copying pythondata_cpu_microwatt/vhdl/tests/110.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,111 root INFO copying pythondata_cpu_microwatt/vhdl/tests/110.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,111 root INFO copying pythondata_cpu_microwatt/vhdl/tests/111.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,111 root INFO copying pythondata_cpu_microwatt/vhdl/tests/111.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,112 root INFO copying pythondata_cpu_microwatt/vhdl/tests/112.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,112 root INFO copying pythondata_cpu_microwatt/vhdl/tests/112.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,112 root INFO copying pythondata_cpu_microwatt/vhdl/tests/113.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,113 root INFO copying pythondata_cpu_microwatt/vhdl/tests/113.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,113 root INFO copying pythondata_cpu_microwatt/vhdl/tests/114.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,114 root INFO copying pythondata_cpu_microwatt/vhdl/tests/114.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,114 root INFO copying pythondata_cpu_microwatt/vhdl/tests/115.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,115 root INFO copying pythondata_cpu_microwatt/vhdl/tests/115.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,115 root INFO copying pythondata_cpu_microwatt/vhdl/tests/116.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,116 root INFO copying pythondata_cpu_microwatt/vhdl/tests/116.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,116 root INFO copying pythondata_cpu_microwatt/vhdl/tests/117.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,116 root INFO copying pythondata_cpu_microwatt/vhdl/tests/117.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,117 root INFO copying pythondata_cpu_microwatt/vhdl/tests/118.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,117 root INFO copying pythondata_cpu_microwatt/vhdl/tests/118.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,117 root INFO copying pythondata_cpu_microwatt/vhdl/tests/119.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,118 root INFO copying pythondata_cpu_microwatt/vhdl/tests/119.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,118 root INFO copying pythondata_cpu_microwatt/vhdl/tests/12.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,119 root INFO copying pythondata_cpu_microwatt/vhdl/tests/12.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,119 root INFO copying pythondata_cpu_microwatt/vhdl/tests/120.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,120 root INFO copying pythondata_cpu_microwatt/vhdl/tests/120.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,120 root INFO copying pythondata_cpu_microwatt/vhdl/tests/121.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,121 root INFO copying pythondata_cpu_microwatt/vhdl/tests/121.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,121 root INFO copying pythondata_cpu_microwatt/vhdl/tests/122.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,121 root INFO copying pythondata_cpu_microwatt/vhdl/tests/122.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,122 root INFO copying pythondata_cpu_microwatt/vhdl/tests/123.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,122 root INFO copying pythondata_cpu_microwatt/vhdl/tests/123.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,122 root INFO copying pythondata_cpu_microwatt/vhdl/tests/124.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,123 root INFO copying pythondata_cpu_microwatt/vhdl/tests/124.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,123 root INFO copying pythondata_cpu_microwatt/vhdl/tests/125.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,124 root INFO copying pythondata_cpu_microwatt/vhdl/tests/125.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,124 root INFO copying pythondata_cpu_microwatt/vhdl/tests/126.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,125 root INFO copying pythondata_cpu_microwatt/vhdl/tests/126.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,125 root INFO copying pythondata_cpu_microwatt/vhdl/tests/127.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,125 root INFO copying pythondata_cpu_microwatt/vhdl/tests/127.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,126 root INFO copying pythondata_cpu_microwatt/vhdl/tests/128.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,126 root INFO copying pythondata_cpu_microwatt/vhdl/tests/128.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,127 root INFO copying pythondata_cpu_microwatt/vhdl/tests/129.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,127 root INFO copying pythondata_cpu_microwatt/vhdl/tests/129.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,127 root INFO copying pythondata_cpu_microwatt/vhdl/tests/13.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,128 root INFO copying pythondata_cpu_microwatt/vhdl/tests/13.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,128 root INFO copying pythondata_cpu_microwatt/vhdl/tests/130.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,129 root INFO copying pythondata_cpu_microwatt/vhdl/tests/130.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,129 root INFO copying pythondata_cpu_microwatt/vhdl/tests/131.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,130 root INFO copying pythondata_cpu_microwatt/vhdl/tests/131.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,130 root INFO copying pythondata_cpu_microwatt/vhdl/tests/132.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,130 root INFO copying pythondata_cpu_microwatt/vhdl/tests/132.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,131 root INFO copying pythondata_cpu_microwatt/vhdl/tests/133.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,131 root INFO copying pythondata_cpu_microwatt/vhdl/tests/133.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,131 root INFO copying pythondata_cpu_microwatt/vhdl/tests/134.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,132 root INFO copying pythondata_cpu_microwatt/vhdl/tests/134.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,132 root INFO copying pythondata_cpu_microwatt/vhdl/tests/135.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,133 root INFO copying pythondata_cpu_microwatt/vhdl/tests/135.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,133 root INFO copying pythondata_cpu_microwatt/vhdl/tests/136.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,134 root INFO copying pythondata_cpu_microwatt/vhdl/tests/136.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,134 root INFO copying pythondata_cpu_microwatt/vhdl/tests/137.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,135 root INFO copying pythondata_cpu_microwatt/vhdl/tests/137.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,135 root INFO copying pythondata_cpu_microwatt/vhdl/tests/138.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,135 root INFO copying pythondata_cpu_microwatt/vhdl/tests/138.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,136 root INFO copying pythondata_cpu_microwatt/vhdl/tests/139.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,136 root INFO copying pythondata_cpu_microwatt/vhdl/tests/139.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,136 root INFO copying pythondata_cpu_microwatt/vhdl/tests/14.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,137 root INFO copying pythondata_cpu_microwatt/vhdl/tests/14.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,137 root INFO copying pythondata_cpu_microwatt/vhdl/tests/140.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,138 root INFO copying pythondata_cpu_microwatt/vhdl/tests/140.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,138 root INFO copying pythondata_cpu_microwatt/vhdl/tests/141.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,139 root INFO copying pythondata_cpu_microwatt/vhdl/tests/141.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,139 root INFO copying pythondata_cpu_microwatt/vhdl/tests/142.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,140 root INFO copying pythondata_cpu_microwatt/vhdl/tests/142.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,140 root INFO copying pythondata_cpu_microwatt/vhdl/tests/143.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,140 root INFO copying pythondata_cpu_microwatt/vhdl/tests/143.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,141 root INFO copying pythondata_cpu_microwatt/vhdl/tests/144.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,141 root INFO copying pythondata_cpu_microwatt/vhdl/tests/144.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,141 root INFO copying pythondata_cpu_microwatt/vhdl/tests/145.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,142 root INFO copying pythondata_cpu_microwatt/vhdl/tests/145.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,142 root INFO copying pythondata_cpu_microwatt/vhdl/tests/146.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,143 root INFO copying pythondata_cpu_microwatt/vhdl/tests/146.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,143 root INFO copying pythondata_cpu_microwatt/vhdl/tests/147.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,144 root INFO copying pythondata_cpu_microwatt/vhdl/tests/147.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,144 root INFO copying pythondata_cpu_microwatt/vhdl/tests/148.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,145 root INFO copying pythondata_cpu_microwatt/vhdl/tests/148.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,145 root INFO copying pythondata_cpu_microwatt/vhdl/tests/149.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,145 root INFO copying pythondata_cpu_microwatt/vhdl/tests/149.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,146 root INFO copying pythondata_cpu_microwatt/vhdl/tests/15.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,146 root INFO copying pythondata_cpu_microwatt/vhdl/tests/15.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,146 root INFO copying pythondata_cpu_microwatt/vhdl/tests/150.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,147 root INFO copying pythondata_cpu_microwatt/vhdl/tests/150.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,147 root INFO copying pythondata_cpu_microwatt/vhdl/tests/151.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,148 root INFO copying pythondata_cpu_microwatt/vhdl/tests/151.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,148 root INFO copying pythondata_cpu_microwatt/vhdl/tests/152.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,149 root INFO copying pythondata_cpu_microwatt/vhdl/tests/152.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,149 root INFO copying pythondata_cpu_microwatt/vhdl/tests/153.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,150 root INFO copying pythondata_cpu_microwatt/vhdl/tests/153.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,150 root INFO copying pythondata_cpu_microwatt/vhdl/tests/154.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,150 root INFO copying pythondata_cpu_microwatt/vhdl/tests/154.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,151 root INFO copying pythondata_cpu_microwatt/vhdl/tests/155.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,151 root INFO copying pythondata_cpu_microwatt/vhdl/tests/155.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,152 root INFO copying pythondata_cpu_microwatt/vhdl/tests/156.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,152 root INFO copying pythondata_cpu_microwatt/vhdl/tests/156.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,152 root INFO copying pythondata_cpu_microwatt/vhdl/tests/157.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,153 root INFO copying pythondata_cpu_microwatt/vhdl/tests/157.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,153 root INFO copying pythondata_cpu_microwatt/vhdl/tests/158.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,154 root INFO copying pythondata_cpu_microwatt/vhdl/tests/158.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,154 root INFO copying pythondata_cpu_microwatt/vhdl/tests/159.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,154 root INFO copying pythondata_cpu_microwatt/vhdl/tests/159.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,155 root INFO copying pythondata_cpu_microwatt/vhdl/tests/16.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,155 root INFO copying pythondata_cpu_microwatt/vhdl/tests/16.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,156 root INFO copying pythondata_cpu_microwatt/vhdl/tests/160.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,156 root INFO copying pythondata_cpu_microwatt/vhdl/tests/160.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,157 root INFO copying pythondata_cpu_microwatt/vhdl/tests/161.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,157 root INFO copying pythondata_cpu_microwatt/vhdl/tests/161.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,157 root INFO copying pythondata_cpu_microwatt/vhdl/tests/162.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,158 root INFO copying pythondata_cpu_microwatt/vhdl/tests/162.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,158 root INFO copying pythondata_cpu_microwatt/vhdl/tests/163.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,159 root INFO copying pythondata_cpu_microwatt/vhdl/tests/163.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,159 root INFO copying pythondata_cpu_microwatt/vhdl/tests/164.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,160 root INFO copying pythondata_cpu_microwatt/vhdl/tests/164.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,160 root INFO copying pythondata_cpu_microwatt/vhdl/tests/165.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,160 root INFO copying pythondata_cpu_microwatt/vhdl/tests/165.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,161 root INFO copying pythondata_cpu_microwatt/vhdl/tests/166.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,161 root INFO copying pythondata_cpu_microwatt/vhdl/tests/166.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,162 root INFO copying pythondata_cpu_microwatt/vhdl/tests/167.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,162 root INFO copying pythondata_cpu_microwatt/vhdl/tests/167.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,162 root INFO copying pythondata_cpu_microwatt/vhdl/tests/168.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,163 root INFO copying pythondata_cpu_microwatt/vhdl/tests/168.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,163 root INFO copying pythondata_cpu_microwatt/vhdl/tests/169.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,164 root INFO copying pythondata_cpu_microwatt/vhdl/tests/169.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,164 root INFO copying pythondata_cpu_microwatt/vhdl/tests/17.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,165 root INFO copying pythondata_cpu_microwatt/vhdl/tests/17.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,165 root INFO copying pythondata_cpu_microwatt/vhdl/tests/170.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,165 root INFO copying pythondata_cpu_microwatt/vhdl/tests/170.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,166 root INFO copying pythondata_cpu_microwatt/vhdl/tests/171.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,166 root INFO copying pythondata_cpu_microwatt/vhdl/tests/171.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,167 root INFO copying pythondata_cpu_microwatt/vhdl/tests/172.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,167 root INFO copying pythondata_cpu_microwatt/vhdl/tests/172.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,168 root INFO copying pythondata_cpu_microwatt/vhdl/tests/173.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,168 root INFO copying pythondata_cpu_microwatt/vhdl/tests/173.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,168 root INFO copying pythondata_cpu_microwatt/vhdl/tests/174.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,169 root INFO copying pythondata_cpu_microwatt/vhdl/tests/174.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,169 root INFO copying pythondata_cpu_microwatt/vhdl/tests/175.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,170 root INFO copying pythondata_cpu_microwatt/vhdl/tests/175.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,170 root INFO copying pythondata_cpu_microwatt/vhdl/tests/176.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,170 root INFO copying pythondata_cpu_microwatt/vhdl/tests/176.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,171 root INFO copying pythondata_cpu_microwatt/vhdl/tests/177.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,171 root INFO copying pythondata_cpu_microwatt/vhdl/tests/177.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,172 root INFO copying pythondata_cpu_microwatt/vhdl/tests/178.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,172 root INFO copying pythondata_cpu_microwatt/vhdl/tests/178.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,172 root INFO copying pythondata_cpu_microwatt/vhdl/tests/179.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,173 root INFO copying pythondata_cpu_microwatt/vhdl/tests/179.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,173 root INFO copying pythondata_cpu_microwatt/vhdl/tests/18.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,174 root INFO copying pythondata_cpu_microwatt/vhdl/tests/18.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,174 root INFO copying pythondata_cpu_microwatt/vhdl/tests/180.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,175 root INFO copying pythondata_cpu_microwatt/vhdl/tests/180.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,175 root INFO copying pythondata_cpu_microwatt/vhdl/tests/181.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,176 root INFO copying pythondata_cpu_microwatt/vhdl/tests/181.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,176 root INFO copying pythondata_cpu_microwatt/vhdl/tests/182.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,176 root INFO copying pythondata_cpu_microwatt/vhdl/tests/182.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,177 root INFO copying pythondata_cpu_microwatt/vhdl/tests/183.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,177 root INFO copying pythondata_cpu_microwatt/vhdl/tests/183.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,178 root INFO copying pythondata_cpu_microwatt/vhdl/tests/184.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,178 root INFO copying pythondata_cpu_microwatt/vhdl/tests/184.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,178 root INFO copying pythondata_cpu_microwatt/vhdl/tests/185.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,179 root INFO copying pythondata_cpu_microwatt/vhdl/tests/185.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,179 root INFO copying pythondata_cpu_microwatt/vhdl/tests/186.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,180 root INFO copying pythondata_cpu_microwatt/vhdl/tests/186.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,180 root INFO copying pythondata_cpu_microwatt/vhdl/tests/187.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,181 root INFO copying pythondata_cpu_microwatt/vhdl/tests/187.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,181 root INFO copying pythondata_cpu_microwatt/vhdl/tests/188.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,181 root INFO copying pythondata_cpu_microwatt/vhdl/tests/188.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,182 root INFO copying pythondata_cpu_microwatt/vhdl/tests/189.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,182 root INFO copying pythondata_cpu_microwatt/vhdl/tests/189.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,183 root INFO copying pythondata_cpu_microwatt/vhdl/tests/19.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,183 root INFO copying pythondata_cpu_microwatt/vhdl/tests/19.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,183 root INFO copying pythondata_cpu_microwatt/vhdl/tests/190.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,184 root INFO copying pythondata_cpu_microwatt/vhdl/tests/190.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,184 root INFO copying pythondata_cpu_microwatt/vhdl/tests/191.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,185 root INFO copying pythondata_cpu_microwatt/vhdl/tests/191.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,185 root INFO copying pythondata_cpu_microwatt/vhdl/tests/192.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,186 root INFO copying pythondata_cpu_microwatt/vhdl/tests/192.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,186 root INFO copying pythondata_cpu_microwatt/vhdl/tests/193.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,187 root INFO copying pythondata_cpu_microwatt/vhdl/tests/193.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,187 root INFO copying pythondata_cpu_microwatt/vhdl/tests/194.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,187 root INFO copying pythondata_cpu_microwatt/vhdl/tests/194.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,188 root INFO copying pythondata_cpu_microwatt/vhdl/tests/195.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,188 root INFO copying pythondata_cpu_microwatt/vhdl/tests/195.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,189 root INFO copying pythondata_cpu_microwatt/vhdl/tests/196.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,189 root INFO copying pythondata_cpu_microwatt/vhdl/tests/196.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,189 root INFO copying pythondata_cpu_microwatt/vhdl/tests/197.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,190 root INFO copying pythondata_cpu_microwatt/vhdl/tests/197.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,190 root INFO copying pythondata_cpu_microwatt/vhdl/tests/198.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,191 root INFO copying pythondata_cpu_microwatt/vhdl/tests/198.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,191 root INFO copying pythondata_cpu_microwatt/vhdl/tests/199.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,192 root INFO copying pythondata_cpu_microwatt/vhdl/tests/199.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,192 root INFO copying pythondata_cpu_microwatt/vhdl/tests/2.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,193 root INFO copying pythondata_cpu_microwatt/vhdl/tests/2.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,193 root INFO copying pythondata_cpu_microwatt/vhdl/tests/20.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,193 root INFO copying pythondata_cpu_microwatt/vhdl/tests/20.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,194 root INFO copying pythondata_cpu_microwatt/vhdl/tests/200.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,194 root INFO copying pythondata_cpu_microwatt/vhdl/tests/200.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,194 root INFO copying pythondata_cpu_microwatt/vhdl/tests/201.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,195 root INFO copying pythondata_cpu_microwatt/vhdl/tests/201.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,195 root INFO copying pythondata_cpu_microwatt/vhdl/tests/202.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,196 root INFO copying pythondata_cpu_microwatt/vhdl/tests/202.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,196 root INFO copying pythondata_cpu_microwatt/vhdl/tests/203.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,197 root INFO copying pythondata_cpu_microwatt/vhdl/tests/203.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,197 root INFO copying pythondata_cpu_microwatt/vhdl/tests/204.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,198 root INFO copying pythondata_cpu_microwatt/vhdl/tests/204.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,198 root INFO copying pythondata_cpu_microwatt/vhdl/tests/205.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,199 root INFO copying pythondata_cpu_microwatt/vhdl/tests/205.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,199 root INFO copying pythondata_cpu_microwatt/vhdl/tests/206.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,199 root INFO copying pythondata_cpu_microwatt/vhdl/tests/206.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,200 root INFO copying pythondata_cpu_microwatt/vhdl/tests/207.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,200 root INFO copying pythondata_cpu_microwatt/vhdl/tests/207.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,200 root INFO copying pythondata_cpu_microwatt/vhdl/tests/208.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,201 root INFO copying pythondata_cpu_microwatt/vhdl/tests/208.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,201 root INFO copying pythondata_cpu_microwatt/vhdl/tests/209.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,202 root INFO copying pythondata_cpu_microwatt/vhdl/tests/209.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,202 root INFO copying pythondata_cpu_microwatt/vhdl/tests/21.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,203 root INFO copying pythondata_cpu_microwatt/vhdl/tests/21.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,203 root INFO copying pythondata_cpu_microwatt/vhdl/tests/210.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,204 root INFO copying pythondata_cpu_microwatt/vhdl/tests/210.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,204 root INFO copying pythondata_cpu_microwatt/vhdl/tests/211.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,204 root INFO copying pythondata_cpu_microwatt/vhdl/tests/211.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,205 root INFO copying pythondata_cpu_microwatt/vhdl/tests/212.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,205 root INFO copying pythondata_cpu_microwatt/vhdl/tests/212.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,206 root INFO copying pythondata_cpu_microwatt/vhdl/tests/213.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,206 root INFO copying pythondata_cpu_microwatt/vhdl/tests/213.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,207 root INFO copying pythondata_cpu_microwatt/vhdl/tests/214.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,207 root INFO copying pythondata_cpu_microwatt/vhdl/tests/214.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,207 root INFO copying pythondata_cpu_microwatt/vhdl/tests/215.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,208 root INFO copying pythondata_cpu_microwatt/vhdl/tests/215.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,208 root INFO copying pythondata_cpu_microwatt/vhdl/tests/216.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,209 root INFO copying pythondata_cpu_microwatt/vhdl/tests/216.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,209 root INFO copying pythondata_cpu_microwatt/vhdl/tests/217.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,210 root INFO copying pythondata_cpu_microwatt/vhdl/tests/217.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,210 root INFO copying pythondata_cpu_microwatt/vhdl/tests/218.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,211 root INFO copying pythondata_cpu_microwatt/vhdl/tests/218.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,211 root INFO copying pythondata_cpu_microwatt/vhdl/tests/219.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,211 root INFO copying pythondata_cpu_microwatt/vhdl/tests/219.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,212 root INFO copying pythondata_cpu_microwatt/vhdl/tests/22.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,212 root INFO copying pythondata_cpu_microwatt/vhdl/tests/22.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,212 root INFO copying pythondata_cpu_microwatt/vhdl/tests/220.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,213 root INFO copying pythondata_cpu_microwatt/vhdl/tests/220.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,213 root INFO copying pythondata_cpu_microwatt/vhdl/tests/221.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,213 root INFO copying pythondata_cpu_microwatt/vhdl/tests/221.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,213 root INFO copying pythondata_cpu_microwatt/vhdl/tests/222.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,214 root INFO copying pythondata_cpu_microwatt/vhdl/tests/222.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,214 root INFO copying pythondata_cpu_microwatt/vhdl/tests/223.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,214 root INFO copying pythondata_cpu_microwatt/vhdl/tests/223.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,215 root INFO copying pythondata_cpu_microwatt/vhdl/tests/224.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,215 root INFO copying pythondata_cpu_microwatt/vhdl/tests/224.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,215 root INFO copying pythondata_cpu_microwatt/vhdl/tests/225.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,216 root INFO copying pythondata_cpu_microwatt/vhdl/tests/225.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,216 root INFO copying pythondata_cpu_microwatt/vhdl/tests/226.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,216 root INFO copying pythondata_cpu_microwatt/vhdl/tests/226.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,217 root INFO copying pythondata_cpu_microwatt/vhdl/tests/227.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,217 root INFO copying pythondata_cpu_microwatt/vhdl/tests/227.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,217 root INFO copying pythondata_cpu_microwatt/vhdl/tests/228.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,218 root INFO copying pythondata_cpu_microwatt/vhdl/tests/228.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,218 root INFO copying pythondata_cpu_microwatt/vhdl/tests/229.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,218 root INFO copying pythondata_cpu_microwatt/vhdl/tests/229.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,219 root INFO copying pythondata_cpu_microwatt/vhdl/tests/23.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,219 root INFO copying pythondata_cpu_microwatt/vhdl/tests/23.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,219 root INFO copying pythondata_cpu_microwatt/vhdl/tests/230.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,220 root INFO copying pythondata_cpu_microwatt/vhdl/tests/230.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,220 root INFO copying pythondata_cpu_microwatt/vhdl/tests/231.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,220 root INFO copying pythondata_cpu_microwatt/vhdl/tests/231.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,220 root INFO copying pythondata_cpu_microwatt/vhdl/tests/232.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,221 root INFO copying pythondata_cpu_microwatt/vhdl/tests/232.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,221 root INFO copying pythondata_cpu_microwatt/vhdl/tests/233.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,221 root INFO copying pythondata_cpu_microwatt/vhdl/tests/233.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,222 root INFO copying pythondata_cpu_microwatt/vhdl/tests/234.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,222 root INFO copying pythondata_cpu_microwatt/vhdl/tests/234.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,222 root INFO copying pythondata_cpu_microwatt/vhdl/tests/235.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,223 root INFO copying pythondata_cpu_microwatt/vhdl/tests/235.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,223 root INFO copying pythondata_cpu_microwatt/vhdl/tests/236.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,224 root INFO copying pythondata_cpu_microwatt/vhdl/tests/236.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,224 root INFO copying pythondata_cpu_microwatt/vhdl/tests/237.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,224 root INFO copying pythondata_cpu_microwatt/vhdl/tests/237.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,225 root INFO copying pythondata_cpu_microwatt/vhdl/tests/238.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,225 root INFO copying pythondata_cpu_microwatt/vhdl/tests/238.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,225 root INFO copying pythondata_cpu_microwatt/vhdl/tests/239.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,226 root INFO copying pythondata_cpu_microwatt/vhdl/tests/239.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,226 root INFO copying pythondata_cpu_microwatt/vhdl/tests/24.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,226 root INFO copying pythondata_cpu_microwatt/vhdl/tests/24.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,226 root INFO copying pythondata_cpu_microwatt/vhdl/tests/240.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,227 root INFO copying pythondata_cpu_microwatt/vhdl/tests/240.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,227 root INFO copying pythondata_cpu_microwatt/vhdl/tests/241.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,227 root INFO copying pythondata_cpu_microwatt/vhdl/tests/241.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,228 root INFO copying pythondata_cpu_microwatt/vhdl/tests/242.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,228 root INFO copying pythondata_cpu_microwatt/vhdl/tests/242.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,228 root INFO copying pythondata_cpu_microwatt/vhdl/tests/243.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,229 root INFO copying pythondata_cpu_microwatt/vhdl/tests/243.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,229 root INFO copying pythondata_cpu_microwatt/vhdl/tests/244.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,229 root INFO copying pythondata_cpu_microwatt/vhdl/tests/244.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,230 root INFO copying pythondata_cpu_microwatt/vhdl/tests/245.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,230 root INFO copying pythondata_cpu_microwatt/vhdl/tests/245.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,230 root INFO copying pythondata_cpu_microwatt/vhdl/tests/246.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,231 root INFO copying pythondata_cpu_microwatt/vhdl/tests/246.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,231 root INFO copying pythondata_cpu_microwatt/vhdl/tests/247.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,231 root INFO copying pythondata_cpu_microwatt/vhdl/tests/247.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,231 root INFO copying pythondata_cpu_microwatt/vhdl/tests/248.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,232 root INFO copying pythondata_cpu_microwatt/vhdl/tests/248.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,232 root INFO copying pythondata_cpu_microwatt/vhdl/tests/249.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,233 root INFO copying pythondata_cpu_microwatt/vhdl/tests/249.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,233 root INFO copying pythondata_cpu_microwatt/vhdl/tests/25.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,233 root INFO copying pythondata_cpu_microwatt/vhdl/tests/25.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,233 root INFO copying pythondata_cpu_microwatt/vhdl/tests/250.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,234 root INFO copying pythondata_cpu_microwatt/vhdl/tests/250.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,234 root INFO copying pythondata_cpu_microwatt/vhdl/tests/251.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,234 root INFO copying pythondata_cpu_microwatt/vhdl/tests/251.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,235 root INFO copying pythondata_cpu_microwatt/vhdl/tests/252.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,235 root INFO copying pythondata_cpu_microwatt/vhdl/tests/252.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,235 root INFO copying pythondata_cpu_microwatt/vhdl/tests/253.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,236 root INFO copying pythondata_cpu_microwatt/vhdl/tests/253.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,236 root INFO copying pythondata_cpu_microwatt/vhdl/tests/254.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,236 root INFO copying pythondata_cpu_microwatt/vhdl/tests/254.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,237 root INFO copying pythondata_cpu_microwatt/vhdl/tests/255.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,237 root INFO copying pythondata_cpu_microwatt/vhdl/tests/255.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,237 root INFO copying pythondata_cpu_microwatt/vhdl/tests/256.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,238 root INFO copying pythondata_cpu_microwatt/vhdl/tests/256.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,238 root INFO copying pythondata_cpu_microwatt/vhdl/tests/257.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,238 root INFO copying pythondata_cpu_microwatt/vhdl/tests/257.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,238 root INFO copying pythondata_cpu_microwatt/vhdl/tests/258.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,239 root INFO copying pythondata_cpu_microwatt/vhdl/tests/258.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,239 root INFO copying pythondata_cpu_microwatt/vhdl/tests/259.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,239 root INFO copying pythondata_cpu_microwatt/vhdl/tests/259.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,240 root INFO copying pythondata_cpu_microwatt/vhdl/tests/26.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,240 root INFO copying pythondata_cpu_microwatt/vhdl/tests/26.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,240 root INFO copying pythondata_cpu_microwatt/vhdl/tests/260.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,241 root INFO copying pythondata_cpu_microwatt/vhdl/tests/260.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,241 root INFO copying pythondata_cpu_microwatt/vhdl/tests/261.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,241 root INFO copying pythondata_cpu_microwatt/vhdl/tests/261.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,242 root INFO copying pythondata_cpu_microwatt/vhdl/tests/262.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,242 root INFO copying pythondata_cpu_microwatt/vhdl/tests/262.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,242 root INFO copying pythondata_cpu_microwatt/vhdl/tests/263.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,243 root INFO copying pythondata_cpu_microwatt/vhdl/tests/263.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,243 root INFO copying pythondata_cpu_microwatt/vhdl/tests/264.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,243 root INFO copying pythondata_cpu_microwatt/vhdl/tests/264.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,243 root INFO copying pythondata_cpu_microwatt/vhdl/tests/265.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,244 root INFO copying pythondata_cpu_microwatt/vhdl/tests/265.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,244 root INFO copying pythondata_cpu_microwatt/vhdl/tests/266.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,244 root INFO copying pythondata_cpu_microwatt/vhdl/tests/266.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,245 root INFO copying pythondata_cpu_microwatt/vhdl/tests/267.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,245 root INFO copying pythondata_cpu_microwatt/vhdl/tests/267.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,245 root INFO copying pythondata_cpu_microwatt/vhdl/tests/268.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,246 root INFO copying pythondata_cpu_microwatt/vhdl/tests/268.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,246 root INFO copying pythondata_cpu_microwatt/vhdl/tests/269.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,246 root INFO copying pythondata_cpu_microwatt/vhdl/tests/269.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,247 root INFO copying pythondata_cpu_microwatt/vhdl/tests/27.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,247 root INFO copying pythondata_cpu_microwatt/vhdl/tests/27.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,247 root INFO copying pythondata_cpu_microwatt/vhdl/tests/270.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,248 root INFO copying pythondata_cpu_microwatt/vhdl/tests/270.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,248 root INFO copying pythondata_cpu_microwatt/vhdl/tests/271.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,248 root INFO copying pythondata_cpu_microwatt/vhdl/tests/271.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,248 root INFO copying pythondata_cpu_microwatt/vhdl/tests/272.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,249 root INFO copying pythondata_cpu_microwatt/vhdl/tests/272.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,249 root INFO copying pythondata_cpu_microwatt/vhdl/tests/273.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,250 root INFO copying pythondata_cpu_microwatt/vhdl/tests/273.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,250 root INFO copying pythondata_cpu_microwatt/vhdl/tests/274.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,250 root INFO copying pythondata_cpu_microwatt/vhdl/tests/274.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,250 root INFO copying pythondata_cpu_microwatt/vhdl/tests/275.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,251 root INFO copying pythondata_cpu_microwatt/vhdl/tests/275.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,251 root INFO copying pythondata_cpu_microwatt/vhdl/tests/276.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,251 root INFO copying pythondata_cpu_microwatt/vhdl/tests/276.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,252 root INFO copying pythondata_cpu_microwatt/vhdl/tests/277.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,252 root INFO copying pythondata_cpu_microwatt/vhdl/tests/277.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,252 root INFO copying pythondata_cpu_microwatt/vhdl/tests/278.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,253 root INFO copying pythondata_cpu_microwatt/vhdl/tests/278.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,253 root INFO copying pythondata_cpu_microwatt/vhdl/tests/279.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,253 root INFO copying pythondata_cpu_microwatt/vhdl/tests/279.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,254 root INFO copying pythondata_cpu_microwatt/vhdl/tests/28.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,254 root INFO copying pythondata_cpu_microwatt/vhdl/tests/28.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,254 root INFO copying pythondata_cpu_microwatt/vhdl/tests/280.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,255 root INFO copying pythondata_cpu_microwatt/vhdl/tests/280.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,255 root INFO copying pythondata_cpu_microwatt/vhdl/tests/281.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,255 root INFO copying pythondata_cpu_microwatt/vhdl/tests/281.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,256 root INFO copying pythondata_cpu_microwatt/vhdl/tests/282.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,256 root INFO copying pythondata_cpu_microwatt/vhdl/tests/282.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,256 root INFO copying pythondata_cpu_microwatt/vhdl/tests/283.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,257 root INFO copying pythondata_cpu_microwatt/vhdl/tests/283.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,257 root INFO copying pythondata_cpu_microwatt/vhdl/tests/284.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,257 root INFO copying pythondata_cpu_microwatt/vhdl/tests/284.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,257 root INFO copying pythondata_cpu_microwatt/vhdl/tests/285.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,258 root INFO copying pythondata_cpu_microwatt/vhdl/tests/285.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,258 root INFO copying pythondata_cpu_microwatt/vhdl/tests/286.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,258 root INFO copying pythondata_cpu_microwatt/vhdl/tests/286.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,259 root INFO copying pythondata_cpu_microwatt/vhdl/tests/287.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,259 root INFO copying pythondata_cpu_microwatt/vhdl/tests/287.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,259 root INFO copying pythondata_cpu_microwatt/vhdl/tests/288.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,260 root INFO copying pythondata_cpu_microwatt/vhdl/tests/288.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,260 root INFO copying pythondata_cpu_microwatt/vhdl/tests/289.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,260 root INFO copying pythondata_cpu_microwatt/vhdl/tests/289.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,261 root INFO copying pythondata_cpu_microwatt/vhdl/tests/29.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,261 root INFO copying pythondata_cpu_microwatt/vhdl/tests/29.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,261 root INFO copying pythondata_cpu_microwatt/vhdl/tests/290.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,262 root INFO copying pythondata_cpu_microwatt/vhdl/tests/290.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,262 root INFO copying pythondata_cpu_microwatt/vhdl/tests/291.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,262 root INFO copying pythondata_cpu_microwatt/vhdl/tests/291.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,262 root INFO copying pythondata_cpu_microwatt/vhdl/tests/292.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,263 root INFO copying pythondata_cpu_microwatt/vhdl/tests/292.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,263 root INFO copying pythondata_cpu_microwatt/vhdl/tests/293.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,264 root INFO copying pythondata_cpu_microwatt/vhdl/tests/293.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,264 root INFO copying pythondata_cpu_microwatt/vhdl/tests/294.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,264 root INFO copying pythondata_cpu_microwatt/vhdl/tests/294.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,264 root INFO copying pythondata_cpu_microwatt/vhdl/tests/295.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,265 root INFO copying pythondata_cpu_microwatt/vhdl/tests/295.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,265 root INFO copying pythondata_cpu_microwatt/vhdl/tests/296.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,265 root INFO copying pythondata_cpu_microwatt/vhdl/tests/296.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,266 root INFO copying pythondata_cpu_microwatt/vhdl/tests/297.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,266 root INFO copying pythondata_cpu_microwatt/vhdl/tests/297.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,266 root INFO copying pythondata_cpu_microwatt/vhdl/tests/298.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,267 root INFO copying pythondata_cpu_microwatt/vhdl/tests/298.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,267 root INFO copying pythondata_cpu_microwatt/vhdl/tests/299.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,267 root INFO copying pythondata_cpu_microwatt/vhdl/tests/299.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,268 root INFO copying pythondata_cpu_microwatt/vhdl/tests/3.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,268 root INFO copying pythondata_cpu_microwatt/vhdl/tests/3.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,268 root INFO copying pythondata_cpu_microwatt/vhdl/tests/30.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,269 root INFO copying pythondata_cpu_microwatt/vhdl/tests/30.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,269 root INFO copying pythondata_cpu_microwatt/vhdl/tests/300.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,269 root INFO copying pythondata_cpu_microwatt/vhdl/tests/300.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,269 root INFO copying pythondata_cpu_microwatt/vhdl/tests/301.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,270 root INFO copying pythondata_cpu_microwatt/vhdl/tests/301.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,270 root INFO copying pythondata_cpu_microwatt/vhdl/tests/302.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,270 root INFO copying pythondata_cpu_microwatt/vhdl/tests/302.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,271 root INFO copying pythondata_cpu_microwatt/vhdl/tests/303.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,271 root INFO copying pythondata_cpu_microwatt/vhdl/tests/303.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,271 root INFO copying pythondata_cpu_microwatt/vhdl/tests/304.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,272 root INFO copying pythondata_cpu_microwatt/vhdl/tests/304.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,272 root INFO copying pythondata_cpu_microwatt/vhdl/tests/305.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,273 root INFO copying pythondata_cpu_microwatt/vhdl/tests/305.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,273 root INFO copying pythondata_cpu_microwatt/vhdl/tests/306.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,273 root INFO copying pythondata_cpu_microwatt/vhdl/tests/306.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,273 root INFO copying pythondata_cpu_microwatt/vhdl/tests/307.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,274 root INFO copying pythondata_cpu_microwatt/vhdl/tests/307.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,274 root INFO copying pythondata_cpu_microwatt/vhdl/tests/308.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,274 root INFO copying pythondata_cpu_microwatt/vhdl/tests/308.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,275 root INFO copying pythondata_cpu_microwatt/vhdl/tests/309.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,275 root INFO copying pythondata_cpu_microwatt/vhdl/tests/309.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,275 root INFO copying pythondata_cpu_microwatt/vhdl/tests/31.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,276 root INFO copying pythondata_cpu_microwatt/vhdl/tests/31.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,276 root INFO copying pythondata_cpu_microwatt/vhdl/tests/310.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,276 root INFO copying pythondata_cpu_microwatt/vhdl/tests/310.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,277 root INFO copying pythondata_cpu_microwatt/vhdl/tests/311.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,277 root INFO copying pythondata_cpu_microwatt/vhdl/tests/311.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,277 root INFO copying pythondata_cpu_microwatt/vhdl/tests/312.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,278 root INFO copying pythondata_cpu_microwatt/vhdl/tests/312.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,278 root INFO copying pythondata_cpu_microwatt/vhdl/tests/313.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,278 root INFO copying pythondata_cpu_microwatt/vhdl/tests/313.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,278 root INFO copying pythondata_cpu_microwatt/vhdl/tests/314.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,279 root INFO copying pythondata_cpu_microwatt/vhdl/tests/314.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,279 root INFO copying pythondata_cpu_microwatt/vhdl/tests/315.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,279 root INFO copying pythondata_cpu_microwatt/vhdl/tests/315.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,280 root INFO copying pythondata_cpu_microwatt/vhdl/tests/316.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,280 root INFO copying pythondata_cpu_microwatt/vhdl/tests/316.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,280 root INFO copying pythondata_cpu_microwatt/vhdl/tests/317.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,281 root INFO copying pythondata_cpu_microwatt/vhdl/tests/317.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,281 root INFO copying pythondata_cpu_microwatt/vhdl/tests/318.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,281 root INFO copying pythondata_cpu_microwatt/vhdl/tests/318.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,282 root INFO copying pythondata_cpu_microwatt/vhdl/tests/319.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,282 root INFO copying pythondata_cpu_microwatt/vhdl/tests/319.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,282 root INFO copying pythondata_cpu_microwatt/vhdl/tests/32.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,283 root INFO copying pythondata_cpu_microwatt/vhdl/tests/32.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,283 root INFO copying pythondata_cpu_microwatt/vhdl/tests/320.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,283 root INFO copying pythondata_cpu_microwatt/vhdl/tests/320.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,284 root INFO copying pythondata_cpu_microwatt/vhdl/tests/321.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,284 root INFO copying pythondata_cpu_microwatt/vhdl/tests/321.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,284 root INFO copying pythondata_cpu_microwatt/vhdl/tests/322.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,285 root INFO copying pythondata_cpu_microwatt/vhdl/tests/322.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,285 root INFO copying pythondata_cpu_microwatt/vhdl/tests/323.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,285 root INFO copying pythondata_cpu_microwatt/vhdl/tests/323.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,286 root INFO copying pythondata_cpu_microwatt/vhdl/tests/324.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,286 root INFO copying pythondata_cpu_microwatt/vhdl/tests/324.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,286 root INFO copying pythondata_cpu_microwatt/vhdl/tests/325.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,287 root INFO copying pythondata_cpu_microwatt/vhdl/tests/325.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,287 root INFO copying pythondata_cpu_microwatt/vhdl/tests/326.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,287 root INFO copying pythondata_cpu_microwatt/vhdl/tests/326.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,287 root INFO copying pythondata_cpu_microwatt/vhdl/tests/327.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,288 root INFO copying pythondata_cpu_microwatt/vhdl/tests/327.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,288 root INFO copying pythondata_cpu_microwatt/vhdl/tests/328.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,288 root INFO copying pythondata_cpu_microwatt/vhdl/tests/328.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,289 root INFO copying pythondata_cpu_microwatt/vhdl/tests/329.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,289 root INFO copying pythondata_cpu_microwatt/vhdl/tests/329.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,289 root INFO copying pythondata_cpu_microwatt/vhdl/tests/33.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,290 root INFO copying pythondata_cpu_microwatt/vhdl/tests/33.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,290 root INFO copying pythondata_cpu_microwatt/vhdl/tests/330.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,291 root INFO copying pythondata_cpu_microwatt/vhdl/tests/330.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,291 root INFO copying pythondata_cpu_microwatt/vhdl/tests/331.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,291 root INFO copying pythondata_cpu_microwatt/vhdl/tests/331.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,291 root INFO copying pythondata_cpu_microwatt/vhdl/tests/332.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,292 root INFO copying pythondata_cpu_microwatt/vhdl/tests/332.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,292 root INFO copying pythondata_cpu_microwatt/vhdl/tests/333.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,292 root INFO copying pythondata_cpu_microwatt/vhdl/tests/333.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,293 root INFO copying pythondata_cpu_microwatt/vhdl/tests/334.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,293 root INFO copying pythondata_cpu_microwatt/vhdl/tests/334.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,293 root INFO copying pythondata_cpu_microwatt/vhdl/tests/335.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,294 root INFO copying pythondata_cpu_microwatt/vhdl/tests/335.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,294 root INFO copying pythondata_cpu_microwatt/vhdl/tests/336.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,294 root INFO copying pythondata_cpu_microwatt/vhdl/tests/336.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,295 root INFO copying pythondata_cpu_microwatt/vhdl/tests/337.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,295 root INFO copying pythondata_cpu_microwatt/vhdl/tests/337.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,295 root INFO copying pythondata_cpu_microwatt/vhdl/tests/338.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,296 root INFO copying pythondata_cpu_microwatt/vhdl/tests/338.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,296 root INFO copying pythondata_cpu_microwatt/vhdl/tests/339.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,296 root INFO copying pythondata_cpu_microwatt/vhdl/tests/339.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,296 root INFO copying pythondata_cpu_microwatt/vhdl/tests/34.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,297 root INFO copying pythondata_cpu_microwatt/vhdl/tests/34.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,297 root INFO copying pythondata_cpu_microwatt/vhdl/tests/340.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,297 root INFO copying pythondata_cpu_microwatt/vhdl/tests/340.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,298 root INFO copying pythondata_cpu_microwatt/vhdl/tests/341.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,298 root INFO copying pythondata_cpu_microwatt/vhdl/tests/341.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,298 root INFO copying pythondata_cpu_microwatt/vhdl/tests/342.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,299 root INFO copying pythondata_cpu_microwatt/vhdl/tests/342.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,299 root INFO copying pythondata_cpu_microwatt/vhdl/tests/343.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,299 root INFO copying pythondata_cpu_microwatt/vhdl/tests/343.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,300 root INFO copying pythondata_cpu_microwatt/vhdl/tests/344.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,300 root INFO copying pythondata_cpu_microwatt/vhdl/tests/344.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,300 root INFO copying pythondata_cpu_microwatt/vhdl/tests/345.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,301 root INFO copying pythondata_cpu_microwatt/vhdl/tests/345.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,301 root INFO copying pythondata_cpu_microwatt/vhdl/tests/346.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,301 root INFO copying pythondata_cpu_microwatt/vhdl/tests/346.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,301 root INFO copying pythondata_cpu_microwatt/vhdl/tests/347.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,302 root INFO copying pythondata_cpu_microwatt/vhdl/tests/347.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,302 root INFO copying pythondata_cpu_microwatt/vhdl/tests/348.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,302 root INFO copying pythondata_cpu_microwatt/vhdl/tests/348.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,303 root INFO copying pythondata_cpu_microwatt/vhdl/tests/349.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,303 root INFO copying pythondata_cpu_microwatt/vhdl/tests/349.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,303 root INFO copying pythondata_cpu_microwatt/vhdl/tests/35.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,304 root INFO copying pythondata_cpu_microwatt/vhdl/tests/35.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,304 root INFO copying pythondata_cpu_microwatt/vhdl/tests/350.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,304 root INFO copying pythondata_cpu_microwatt/vhdl/tests/350.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,305 root INFO copying pythondata_cpu_microwatt/vhdl/tests/351.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,305 root INFO copying pythondata_cpu_microwatt/vhdl/tests/351.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,305 root INFO copying pythondata_cpu_microwatt/vhdl/tests/352.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,306 root INFO copying pythondata_cpu_microwatt/vhdl/tests/352.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,306 root INFO copying pythondata_cpu_microwatt/vhdl/tests/353.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,306 root INFO copying pythondata_cpu_microwatt/vhdl/tests/353.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,306 root INFO copying pythondata_cpu_microwatt/vhdl/tests/354.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,307 root INFO copying pythondata_cpu_microwatt/vhdl/tests/354.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,307 root INFO copying pythondata_cpu_microwatt/vhdl/tests/355.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,307 root INFO copying pythondata_cpu_microwatt/vhdl/tests/355.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,308 root INFO copying pythondata_cpu_microwatt/vhdl/tests/356.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,308 root INFO copying pythondata_cpu_microwatt/vhdl/tests/356.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,308 root INFO copying pythondata_cpu_microwatt/vhdl/tests/357.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,309 root INFO copying pythondata_cpu_microwatt/vhdl/tests/357.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,309 root INFO copying pythondata_cpu_microwatt/vhdl/tests/358.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,309 root INFO copying pythondata_cpu_microwatt/vhdl/tests/358.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,310 root INFO copying pythondata_cpu_microwatt/vhdl/tests/359.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,310 root INFO copying pythondata_cpu_microwatt/vhdl/tests/359.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,310 root INFO copying pythondata_cpu_microwatt/vhdl/tests/36.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,311 root INFO copying pythondata_cpu_microwatt/vhdl/tests/36.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,311 root INFO copying pythondata_cpu_microwatt/vhdl/tests/360.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,311 root INFO copying pythondata_cpu_microwatt/vhdl/tests/360.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,311 root INFO copying pythondata_cpu_microwatt/vhdl/tests/361.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,312 root INFO copying pythondata_cpu_microwatt/vhdl/tests/361.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,312 root INFO copying pythondata_cpu_microwatt/vhdl/tests/362.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,312 root INFO copying pythondata_cpu_microwatt/vhdl/tests/362.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,313 root INFO copying pythondata_cpu_microwatt/vhdl/tests/363.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,313 root INFO copying pythondata_cpu_microwatt/vhdl/tests/363.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,313 root INFO copying pythondata_cpu_microwatt/vhdl/tests/364.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,314 root INFO copying pythondata_cpu_microwatt/vhdl/tests/364.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,314 root INFO copying pythondata_cpu_microwatt/vhdl/tests/365.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,314 root INFO copying pythondata_cpu_microwatt/vhdl/tests/365.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,315 root INFO copying pythondata_cpu_microwatt/vhdl/tests/366.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,315 root INFO copying pythondata_cpu_microwatt/vhdl/tests/366.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,315 root INFO copying pythondata_cpu_microwatt/vhdl/tests/367.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,316 root INFO copying pythondata_cpu_microwatt/vhdl/tests/367.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,316 root INFO copying pythondata_cpu_microwatt/vhdl/tests/368.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,316 root INFO copying pythondata_cpu_microwatt/vhdl/tests/368.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,317 root INFO copying pythondata_cpu_microwatt/vhdl/tests/369.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,317 root INFO copying pythondata_cpu_microwatt/vhdl/tests/369.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,317 root INFO copying pythondata_cpu_microwatt/vhdl/tests/37.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,318 root INFO copying pythondata_cpu_microwatt/vhdl/tests/37.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,318 root INFO copying pythondata_cpu_microwatt/vhdl/tests/370.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,318 root INFO copying pythondata_cpu_microwatt/vhdl/tests/370.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,318 root INFO copying pythondata_cpu_microwatt/vhdl/tests/371.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,319 root INFO copying pythondata_cpu_microwatt/vhdl/tests/371.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,319 root INFO copying pythondata_cpu_microwatt/vhdl/tests/372.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,319 root INFO copying pythondata_cpu_microwatt/vhdl/tests/372.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,320 root INFO copying pythondata_cpu_microwatt/vhdl/tests/373.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,320 root INFO copying pythondata_cpu_microwatt/vhdl/tests/373.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,320 root INFO copying pythondata_cpu_microwatt/vhdl/tests/374.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,321 root INFO copying pythondata_cpu_microwatt/vhdl/tests/374.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,321 root INFO copying pythondata_cpu_microwatt/vhdl/tests/375.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,321 root INFO copying pythondata_cpu_microwatt/vhdl/tests/375.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,322 root INFO copying pythondata_cpu_microwatt/vhdl/tests/376.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,322 root INFO copying pythondata_cpu_microwatt/vhdl/tests/376.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,322 root INFO copying pythondata_cpu_microwatt/vhdl/tests/377.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,323 root INFO copying pythondata_cpu_microwatt/vhdl/tests/377.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,323 root INFO copying pythondata_cpu_microwatt/vhdl/tests/378.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,323 root INFO copying pythondata_cpu_microwatt/vhdl/tests/378.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,323 root INFO copying pythondata_cpu_microwatt/vhdl/tests/379.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,324 root INFO copying pythondata_cpu_microwatt/vhdl/tests/379.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,324 root INFO copying pythondata_cpu_microwatt/vhdl/tests/38.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,324 root INFO copying pythondata_cpu_microwatt/vhdl/tests/38.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,325 root INFO copying pythondata_cpu_microwatt/vhdl/tests/380.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,325 root INFO copying pythondata_cpu_microwatt/vhdl/tests/380.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,325 root INFO copying pythondata_cpu_microwatt/vhdl/tests/381.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,326 root INFO copying pythondata_cpu_microwatt/vhdl/tests/381.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,326 root INFO copying pythondata_cpu_microwatt/vhdl/tests/382.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,326 root INFO copying pythondata_cpu_microwatt/vhdl/tests/382.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,327 root INFO copying pythondata_cpu_microwatt/vhdl/tests/383.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,327 root INFO copying pythondata_cpu_microwatt/vhdl/tests/383.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,327 root INFO copying pythondata_cpu_microwatt/vhdl/tests/384.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,328 root INFO copying pythondata_cpu_microwatt/vhdl/tests/384.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,328 root INFO copying pythondata_cpu_microwatt/vhdl/tests/385.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,328 root INFO copying pythondata_cpu_microwatt/vhdl/tests/385.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,328 root INFO copying pythondata_cpu_microwatt/vhdl/tests/386.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,329 root INFO copying pythondata_cpu_microwatt/vhdl/tests/386.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,329 root INFO copying pythondata_cpu_microwatt/vhdl/tests/387.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,330 root INFO copying pythondata_cpu_microwatt/vhdl/tests/387.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,330 root INFO copying pythondata_cpu_microwatt/vhdl/tests/388.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,330 root INFO copying pythondata_cpu_microwatt/vhdl/tests/388.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,330 root INFO copying pythondata_cpu_microwatt/vhdl/tests/389.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,331 root INFO copying pythondata_cpu_microwatt/vhdl/tests/389.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,331 root INFO copying pythondata_cpu_microwatt/vhdl/tests/39.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,331 root INFO copying pythondata_cpu_microwatt/vhdl/tests/39.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,332 root INFO copying pythondata_cpu_microwatt/vhdl/tests/390.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,332 root INFO copying pythondata_cpu_microwatt/vhdl/tests/390.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,332 root INFO copying pythondata_cpu_microwatt/vhdl/tests/391.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,333 root INFO copying pythondata_cpu_microwatt/vhdl/tests/391.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,333 root INFO copying pythondata_cpu_microwatt/vhdl/tests/392.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,333 root INFO copying pythondata_cpu_microwatt/vhdl/tests/392.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,333 root INFO copying pythondata_cpu_microwatt/vhdl/tests/393.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,334 root INFO copying pythondata_cpu_microwatt/vhdl/tests/393.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,334 root INFO copying pythondata_cpu_microwatt/vhdl/tests/394.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,335 root INFO copying pythondata_cpu_microwatt/vhdl/tests/394.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,335 root INFO copying pythondata_cpu_microwatt/vhdl/tests/395.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,335 root INFO copying pythondata_cpu_microwatt/vhdl/tests/395.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,335 root INFO copying pythondata_cpu_microwatt/vhdl/tests/396.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,336 root INFO copying pythondata_cpu_microwatt/vhdl/tests/396.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,336 root INFO copying pythondata_cpu_microwatt/vhdl/tests/397.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,336 root INFO copying pythondata_cpu_microwatt/vhdl/tests/397.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,337 root INFO copying pythondata_cpu_microwatt/vhdl/tests/398.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,337 root INFO copying pythondata_cpu_microwatt/vhdl/tests/398.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,337 root INFO copying pythondata_cpu_microwatt/vhdl/tests/399.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,338 root INFO copying pythondata_cpu_microwatt/vhdl/tests/399.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,338 root INFO copying pythondata_cpu_microwatt/vhdl/tests/4.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,338 root INFO copying pythondata_cpu_microwatt/vhdl/tests/4.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,339 root INFO copying pythondata_cpu_microwatt/vhdl/tests/40.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,339 root INFO copying pythondata_cpu_microwatt/vhdl/tests/40.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,339 root INFO copying pythondata_cpu_microwatt/vhdl/tests/400.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,340 root INFO copying pythondata_cpu_microwatt/vhdl/tests/400.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,340 root INFO copying pythondata_cpu_microwatt/vhdl/tests/401.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,340 root INFO copying pythondata_cpu_microwatt/vhdl/tests/401.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,340 root INFO copying pythondata_cpu_microwatt/vhdl/tests/402.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,341 root INFO copying pythondata_cpu_microwatt/vhdl/tests/402.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,341 root INFO copying pythondata_cpu_microwatt/vhdl/tests/403.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,341 root INFO copying pythondata_cpu_microwatt/vhdl/tests/403.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,342 root INFO copying pythondata_cpu_microwatt/vhdl/tests/404.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,342 root INFO copying pythondata_cpu_microwatt/vhdl/tests/404.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,342 root INFO copying pythondata_cpu_microwatt/vhdl/tests/405.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,343 root INFO copying pythondata_cpu_microwatt/vhdl/tests/405.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,343 root INFO copying pythondata_cpu_microwatt/vhdl/tests/406.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,343 root INFO copying pythondata_cpu_microwatt/vhdl/tests/406.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,344 root INFO copying pythondata_cpu_microwatt/vhdl/tests/407.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,344 root INFO copying pythondata_cpu_microwatt/vhdl/tests/407.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,344 root INFO copying pythondata_cpu_microwatt/vhdl/tests/408.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,345 root INFO copying pythondata_cpu_microwatt/vhdl/tests/408.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,345 root INFO copying pythondata_cpu_microwatt/vhdl/tests/409.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,345 root INFO copying pythondata_cpu_microwatt/vhdl/tests/409.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,345 root INFO copying pythondata_cpu_microwatt/vhdl/tests/41.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,346 root INFO copying pythondata_cpu_microwatt/vhdl/tests/41.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,346 root INFO copying pythondata_cpu_microwatt/vhdl/tests/410.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,346 root INFO copying pythondata_cpu_microwatt/vhdl/tests/410.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,347 root INFO copying pythondata_cpu_microwatt/vhdl/tests/411.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,347 root INFO copying pythondata_cpu_microwatt/vhdl/tests/411.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,347 root INFO copying pythondata_cpu_microwatt/vhdl/tests/412.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,348 root INFO copying pythondata_cpu_microwatt/vhdl/tests/412.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,348 root INFO copying pythondata_cpu_microwatt/vhdl/tests/413.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,349 root INFO copying pythondata_cpu_microwatt/vhdl/tests/413.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,349 root INFO copying pythondata_cpu_microwatt/vhdl/tests/414.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,349 root INFO copying pythondata_cpu_microwatt/vhdl/tests/414.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,350 root INFO copying pythondata_cpu_microwatt/vhdl/tests/415.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,350 root INFO copying pythondata_cpu_microwatt/vhdl/tests/415.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,350 root INFO copying pythondata_cpu_microwatt/vhdl/tests/416.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,351 root INFO copying pythondata_cpu_microwatt/vhdl/tests/416.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,351 root INFO copying pythondata_cpu_microwatt/vhdl/tests/417.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,351 root INFO copying pythondata_cpu_microwatt/vhdl/tests/417.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,352 root INFO copying pythondata_cpu_microwatt/vhdl/tests/418.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,352 root INFO copying pythondata_cpu_microwatt/vhdl/tests/418.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,352 root INFO copying pythondata_cpu_microwatt/vhdl/tests/419.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,353 root INFO copying pythondata_cpu_microwatt/vhdl/tests/419.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,353 root INFO copying pythondata_cpu_microwatt/vhdl/tests/42.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,353 root INFO copying pythondata_cpu_microwatt/vhdl/tests/42.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,353 root INFO copying pythondata_cpu_microwatt/vhdl/tests/420.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,354 root INFO copying pythondata_cpu_microwatt/vhdl/tests/420.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,354 root INFO copying pythondata_cpu_microwatt/vhdl/tests/421.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,354 root INFO copying pythondata_cpu_microwatt/vhdl/tests/421.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,355 root INFO copying pythondata_cpu_microwatt/vhdl/tests/422.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,355 root INFO copying pythondata_cpu_microwatt/vhdl/tests/422.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,355 root INFO copying pythondata_cpu_microwatt/vhdl/tests/423.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,356 root INFO copying pythondata_cpu_microwatt/vhdl/tests/423.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,356 root INFO copying pythondata_cpu_microwatt/vhdl/tests/424.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,356 root INFO copying pythondata_cpu_microwatt/vhdl/tests/424.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,357 root INFO copying pythondata_cpu_microwatt/vhdl/tests/425.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,357 root INFO copying pythondata_cpu_microwatt/vhdl/tests/425.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,357 root INFO copying pythondata_cpu_microwatt/vhdl/tests/426.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,358 root INFO copying pythondata_cpu_microwatt/vhdl/tests/426.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,358 root INFO copying pythondata_cpu_microwatt/vhdl/tests/427.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,358 root INFO copying pythondata_cpu_microwatt/vhdl/tests/427.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,358 root INFO copying pythondata_cpu_microwatt/vhdl/tests/428.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,359 root INFO copying pythondata_cpu_microwatt/vhdl/tests/428.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,359 root INFO copying pythondata_cpu_microwatt/vhdl/tests/429.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,359 root INFO copying pythondata_cpu_microwatt/vhdl/tests/429.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,360 root INFO copying pythondata_cpu_microwatt/vhdl/tests/43.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,360 root INFO copying pythondata_cpu_microwatt/vhdl/tests/43.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,360 root INFO copying pythondata_cpu_microwatt/vhdl/tests/430.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,361 root INFO copying pythondata_cpu_microwatt/vhdl/tests/430.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,361 root INFO copying pythondata_cpu_microwatt/vhdl/tests/431.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,362 root INFO copying pythondata_cpu_microwatt/vhdl/tests/431.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,362 root INFO copying pythondata_cpu_microwatt/vhdl/tests/432.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,362 root INFO copying pythondata_cpu_microwatt/vhdl/tests/432.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,362 root INFO copying pythondata_cpu_microwatt/vhdl/tests/433.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,363 root INFO copying pythondata_cpu_microwatt/vhdl/tests/433.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,363 root INFO copying pythondata_cpu_microwatt/vhdl/tests/434.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,363 root INFO copying pythondata_cpu_microwatt/vhdl/tests/434.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,364 root INFO copying pythondata_cpu_microwatt/vhdl/tests/435.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,364 root INFO copying pythondata_cpu_microwatt/vhdl/tests/435.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,364 root INFO copying pythondata_cpu_microwatt/vhdl/tests/436.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,365 root INFO copying pythondata_cpu_microwatt/vhdl/tests/436.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,365 root INFO copying pythondata_cpu_microwatt/vhdl/tests/437.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,365 root INFO copying pythondata_cpu_microwatt/vhdl/tests/437.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,366 root INFO copying pythondata_cpu_microwatt/vhdl/tests/438.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,366 root INFO copying pythondata_cpu_microwatt/vhdl/tests/438.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,366 root INFO copying pythondata_cpu_microwatt/vhdl/tests/439.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,367 root INFO copying pythondata_cpu_microwatt/vhdl/tests/439.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,367 root INFO copying pythondata_cpu_microwatt/vhdl/tests/44.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,367 root INFO copying pythondata_cpu_microwatt/vhdl/tests/44.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,367 root INFO copying pythondata_cpu_microwatt/vhdl/tests/440.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,368 root INFO copying pythondata_cpu_microwatt/vhdl/tests/440.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,368 root INFO copying pythondata_cpu_microwatt/vhdl/tests/441.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,368 root INFO copying pythondata_cpu_microwatt/vhdl/tests/441.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,369 root INFO copying pythondata_cpu_microwatt/vhdl/tests/442.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,369 root INFO copying pythondata_cpu_microwatt/vhdl/tests/442.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,369 root INFO copying pythondata_cpu_microwatt/vhdl/tests/443.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,370 root INFO copying pythondata_cpu_microwatt/vhdl/tests/443.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,370 root INFO copying pythondata_cpu_microwatt/vhdl/tests/444.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,370 root INFO copying pythondata_cpu_microwatt/vhdl/tests/444.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,371 root INFO copying pythondata_cpu_microwatt/vhdl/tests/445.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,371 root INFO copying pythondata_cpu_microwatt/vhdl/tests/445.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,371 root INFO copying pythondata_cpu_microwatt/vhdl/tests/446.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,372 root INFO copying pythondata_cpu_microwatt/vhdl/tests/446.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,372 root INFO copying pythondata_cpu_microwatt/vhdl/tests/447.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,372 root INFO copying pythondata_cpu_microwatt/vhdl/tests/447.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,372 root INFO copying pythondata_cpu_microwatt/vhdl/tests/448.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,373 root INFO copying pythondata_cpu_microwatt/vhdl/tests/448.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,373 root INFO copying pythondata_cpu_microwatt/vhdl/tests/449.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,373 root INFO copying pythondata_cpu_microwatt/vhdl/tests/449.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,374 root INFO copying pythondata_cpu_microwatt/vhdl/tests/45.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,374 root INFO copying pythondata_cpu_microwatt/vhdl/tests/45.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,374 root INFO copying pythondata_cpu_microwatt/vhdl/tests/450.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,375 root INFO copying pythondata_cpu_microwatt/vhdl/tests/450.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,375 root INFO copying pythondata_cpu_microwatt/vhdl/tests/451.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,375 root INFO copying pythondata_cpu_microwatt/vhdl/tests/451.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,376 root INFO copying pythondata_cpu_microwatt/vhdl/tests/452.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,376 root INFO copying pythondata_cpu_microwatt/vhdl/tests/452.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,376 root INFO copying pythondata_cpu_microwatt/vhdl/tests/453.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,377 root INFO copying pythondata_cpu_microwatt/vhdl/tests/453.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,377 root INFO copying pythondata_cpu_microwatt/vhdl/tests/454.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,377 root INFO copying pythondata_cpu_microwatt/vhdl/tests/454.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,377 root INFO copying pythondata_cpu_microwatt/vhdl/tests/455.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,378 root INFO copying pythondata_cpu_microwatt/vhdl/tests/455.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,378 root INFO copying pythondata_cpu_microwatt/vhdl/tests/456.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,379 root INFO copying pythondata_cpu_microwatt/vhdl/tests/456.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,379 root INFO copying pythondata_cpu_microwatt/vhdl/tests/457.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,379 root INFO copying pythondata_cpu_microwatt/vhdl/tests/457.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,379 root INFO copying pythondata_cpu_microwatt/vhdl/tests/458.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,380 root INFO copying pythondata_cpu_microwatt/vhdl/tests/458.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,380 root INFO copying pythondata_cpu_microwatt/vhdl/tests/459.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,381 root INFO copying pythondata_cpu_microwatt/vhdl/tests/459.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,381 root INFO copying pythondata_cpu_microwatt/vhdl/tests/46.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,381 root INFO copying pythondata_cpu_microwatt/vhdl/tests/46.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,381 root INFO copying pythondata_cpu_microwatt/vhdl/tests/460.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,382 root INFO copying pythondata_cpu_microwatt/vhdl/tests/460.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,382 root INFO copying pythondata_cpu_microwatt/vhdl/tests/461.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,382 root INFO copying pythondata_cpu_microwatt/vhdl/tests/461.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,383 root INFO copying pythondata_cpu_microwatt/vhdl/tests/462.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,383 root INFO copying pythondata_cpu_microwatt/vhdl/tests/462.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,383 root INFO copying pythondata_cpu_microwatt/vhdl/tests/463.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,384 root INFO copying pythondata_cpu_microwatt/vhdl/tests/463.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,384 root INFO copying pythondata_cpu_microwatt/vhdl/tests/464.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,384 root INFO copying pythondata_cpu_microwatt/vhdl/tests/464.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,385 root INFO copying pythondata_cpu_microwatt/vhdl/tests/465.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,385 root INFO copying pythondata_cpu_microwatt/vhdl/tests/465.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,385 root INFO copying pythondata_cpu_microwatt/vhdl/tests/466.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,386 root INFO copying pythondata_cpu_microwatt/vhdl/tests/466.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,386 root INFO copying pythondata_cpu_microwatt/vhdl/tests/467.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,386 root INFO copying pythondata_cpu_microwatt/vhdl/tests/467.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,386 root INFO copying pythondata_cpu_microwatt/vhdl/tests/468.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,387 root INFO copying pythondata_cpu_microwatt/vhdl/tests/468.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,387 root INFO copying pythondata_cpu_microwatt/vhdl/tests/469.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,388 root INFO copying pythondata_cpu_microwatt/vhdl/tests/469.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,388 root INFO copying pythondata_cpu_microwatt/vhdl/tests/47.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,388 root INFO copying pythondata_cpu_microwatt/vhdl/tests/47.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,388 root INFO copying pythondata_cpu_microwatt/vhdl/tests/470.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,389 root INFO copying pythondata_cpu_microwatt/vhdl/tests/470.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,389 root INFO copying pythondata_cpu_microwatt/vhdl/tests/471.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,389 root INFO copying pythondata_cpu_microwatt/vhdl/tests/471.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,390 root INFO copying pythondata_cpu_microwatt/vhdl/tests/472.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,390 root INFO copying pythondata_cpu_microwatt/vhdl/tests/472.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,390 root INFO copying pythondata_cpu_microwatt/vhdl/tests/473.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,391 root INFO copying pythondata_cpu_microwatt/vhdl/tests/473.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,391 root INFO copying pythondata_cpu_microwatt/vhdl/tests/474.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,391 root INFO copying pythondata_cpu_microwatt/vhdl/tests/474.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,391 root INFO copying pythondata_cpu_microwatt/vhdl/tests/475.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,392 root INFO copying pythondata_cpu_microwatt/vhdl/tests/475.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,392 root INFO copying pythondata_cpu_microwatt/vhdl/tests/476.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,393 root INFO copying pythondata_cpu_microwatt/vhdl/tests/476.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,393 root INFO copying pythondata_cpu_microwatt/vhdl/tests/477.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,393 root INFO copying pythondata_cpu_microwatt/vhdl/tests/477.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,393 root INFO copying pythondata_cpu_microwatt/vhdl/tests/478.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,394 root INFO copying pythondata_cpu_microwatt/vhdl/tests/478.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,394 root INFO copying pythondata_cpu_microwatt/vhdl/tests/479.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,394 root INFO copying pythondata_cpu_microwatt/vhdl/tests/479.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,395 root INFO copying pythondata_cpu_microwatt/vhdl/tests/48.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,395 root INFO copying pythondata_cpu_microwatt/vhdl/tests/48.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,395 root INFO copying pythondata_cpu_microwatt/vhdl/tests/480.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,396 root INFO copying pythondata_cpu_microwatt/vhdl/tests/480.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,396 root INFO copying pythondata_cpu_microwatt/vhdl/tests/481.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,396 root INFO copying pythondata_cpu_microwatt/vhdl/tests/481.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,397 root INFO copying pythondata_cpu_microwatt/vhdl/tests/482.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,397 root INFO copying pythondata_cpu_microwatt/vhdl/tests/482.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,397 root INFO copying pythondata_cpu_microwatt/vhdl/tests/483.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,398 root INFO copying pythondata_cpu_microwatt/vhdl/tests/483.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,398 root INFO copying pythondata_cpu_microwatt/vhdl/tests/484.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,398 root INFO copying pythondata_cpu_microwatt/vhdl/tests/484.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,398 root INFO copying pythondata_cpu_microwatt/vhdl/tests/485.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,399 root INFO copying pythondata_cpu_microwatt/vhdl/tests/485.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,399 root INFO copying pythondata_cpu_microwatt/vhdl/tests/486.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,399 root INFO copying pythondata_cpu_microwatt/vhdl/tests/486.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,400 root INFO copying pythondata_cpu_microwatt/vhdl/tests/487.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,400 root INFO copying pythondata_cpu_microwatt/vhdl/tests/487.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,400 root INFO copying pythondata_cpu_microwatt/vhdl/tests/488.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,401 root INFO copying pythondata_cpu_microwatt/vhdl/tests/488.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,401 root INFO copying pythondata_cpu_microwatt/vhdl/tests/489.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,401 root INFO copying pythondata_cpu_microwatt/vhdl/tests/489.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,402 root INFO copying pythondata_cpu_microwatt/vhdl/tests/49.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,402 root INFO copying pythondata_cpu_microwatt/vhdl/tests/49.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,402 root INFO copying pythondata_cpu_microwatt/vhdl/tests/490.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,403 root INFO copying pythondata_cpu_microwatt/vhdl/tests/490.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,403 root INFO copying pythondata_cpu_microwatt/vhdl/tests/491.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,403 root INFO copying pythondata_cpu_microwatt/vhdl/tests/491.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,404 root INFO copying pythondata_cpu_microwatt/vhdl/tests/492.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,404 root INFO copying pythondata_cpu_microwatt/vhdl/tests/492.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,404 root INFO copying pythondata_cpu_microwatt/vhdl/tests/493.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,405 root INFO copying pythondata_cpu_microwatt/vhdl/tests/493.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,405 root INFO copying pythondata_cpu_microwatt/vhdl/tests/494.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,405 root INFO copying pythondata_cpu_microwatt/vhdl/tests/494.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,406 root INFO copying pythondata_cpu_microwatt/vhdl/tests/495.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,406 root INFO copying pythondata_cpu_microwatt/vhdl/tests/495.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,406 root INFO copying pythondata_cpu_microwatt/vhdl/tests/496.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,407 root INFO copying pythondata_cpu_microwatt/vhdl/tests/496.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,407 root INFO copying pythondata_cpu_microwatt/vhdl/tests/497.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,407 root INFO copying pythondata_cpu_microwatt/vhdl/tests/497.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,407 root INFO copying pythondata_cpu_microwatt/vhdl/tests/498.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,408 root INFO copying pythondata_cpu_microwatt/vhdl/tests/498.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,408 root INFO copying pythondata_cpu_microwatt/vhdl/tests/499.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,408 root INFO copying pythondata_cpu_microwatt/vhdl/tests/499.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,409 root INFO copying pythondata_cpu_microwatt/vhdl/tests/5.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,409 root INFO copying pythondata_cpu_microwatt/vhdl/tests/5.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,409 root INFO copying pythondata_cpu_microwatt/vhdl/tests/50.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,410 root INFO copying pythondata_cpu_microwatt/vhdl/tests/50.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,410 root INFO copying pythondata_cpu_microwatt/vhdl/tests/500.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,410 root INFO copying pythondata_cpu_microwatt/vhdl/tests/500.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,411 root INFO copying pythondata_cpu_microwatt/vhdl/tests/501.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,411 root INFO copying pythondata_cpu_microwatt/vhdl/tests/501.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,411 root INFO copying pythondata_cpu_microwatt/vhdl/tests/502.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,412 root INFO copying pythondata_cpu_microwatt/vhdl/tests/502.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,412 root INFO copying pythondata_cpu_microwatt/vhdl/tests/503.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,412 root INFO copying pythondata_cpu_microwatt/vhdl/tests/503.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,412 root INFO copying pythondata_cpu_microwatt/vhdl/tests/504.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,413 root INFO copying pythondata_cpu_microwatt/vhdl/tests/504.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,413 root INFO copying pythondata_cpu_microwatt/vhdl/tests/505.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,413 root INFO copying pythondata_cpu_microwatt/vhdl/tests/505.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,414 root INFO copying pythondata_cpu_microwatt/vhdl/tests/506.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,414 root INFO copying pythondata_cpu_microwatt/vhdl/tests/506.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,414 root INFO copying pythondata_cpu_microwatt/vhdl/tests/507.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,415 root INFO copying pythondata_cpu_microwatt/vhdl/tests/507.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,415 root INFO copying pythondata_cpu_microwatt/vhdl/tests/508.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,416 root INFO copying pythondata_cpu_microwatt/vhdl/tests/508.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,416 root INFO copying pythondata_cpu_microwatt/vhdl/tests/509.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,416 root INFO copying pythondata_cpu_microwatt/vhdl/tests/509.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,416 root INFO copying pythondata_cpu_microwatt/vhdl/tests/51.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,417 root INFO copying pythondata_cpu_microwatt/vhdl/tests/51.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,417 root INFO copying pythondata_cpu_microwatt/vhdl/tests/510.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,417 root INFO copying pythondata_cpu_microwatt/vhdl/tests/510.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,418 root INFO copying pythondata_cpu_microwatt/vhdl/tests/511.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,418 root INFO copying pythondata_cpu_microwatt/vhdl/tests/511.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,418 root INFO copying pythondata_cpu_microwatt/vhdl/tests/512.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,419 root INFO copying pythondata_cpu_microwatt/vhdl/tests/512.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,419 root INFO copying pythondata_cpu_microwatt/vhdl/tests/513.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,419 root INFO copying pythondata_cpu_microwatt/vhdl/tests/513.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,420 root INFO copying pythondata_cpu_microwatt/vhdl/tests/514.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,420 root INFO copying pythondata_cpu_microwatt/vhdl/tests/514.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,420 root INFO copying pythondata_cpu_microwatt/vhdl/tests/515.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,421 root INFO copying pythondata_cpu_microwatt/vhdl/tests/515.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,421 root INFO copying pythondata_cpu_microwatt/vhdl/tests/516.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,421 root INFO copying pythondata_cpu_microwatt/vhdl/tests/516.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,421 root INFO copying pythondata_cpu_microwatt/vhdl/tests/517.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,422 root INFO copying pythondata_cpu_microwatt/vhdl/tests/517.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,422 root INFO copying pythondata_cpu_microwatt/vhdl/tests/518.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,422 root INFO copying pythondata_cpu_microwatt/vhdl/tests/518.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,423 root INFO copying pythondata_cpu_microwatt/vhdl/tests/519.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,423 root INFO copying pythondata_cpu_microwatt/vhdl/tests/519.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,423 root INFO copying pythondata_cpu_microwatt/vhdl/tests/52.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,424 root INFO copying pythondata_cpu_microwatt/vhdl/tests/52.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,424 root INFO copying pythondata_cpu_microwatt/vhdl/tests/520.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,424 root INFO copying pythondata_cpu_microwatt/vhdl/tests/520.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,425 root INFO copying pythondata_cpu_microwatt/vhdl/tests/521.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,425 root INFO copying pythondata_cpu_microwatt/vhdl/tests/521.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,425 root INFO copying pythondata_cpu_microwatt/vhdl/tests/522.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,426 root INFO copying pythondata_cpu_microwatt/vhdl/tests/522.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,426 root INFO copying pythondata_cpu_microwatt/vhdl/tests/523.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,426 root INFO copying pythondata_cpu_microwatt/vhdl/tests/523.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,426 root INFO copying pythondata_cpu_microwatt/vhdl/tests/524.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,427 root INFO copying pythondata_cpu_microwatt/vhdl/tests/524.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,427 root INFO copying pythondata_cpu_microwatt/vhdl/tests/525.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,428 root INFO copying pythondata_cpu_microwatt/vhdl/tests/525.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,428 root INFO copying pythondata_cpu_microwatt/vhdl/tests/526.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,428 root INFO copying pythondata_cpu_microwatt/vhdl/tests/526.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,428 root INFO copying pythondata_cpu_microwatt/vhdl/tests/527.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,429 root INFO copying pythondata_cpu_microwatt/vhdl/tests/527.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,429 root INFO copying pythondata_cpu_microwatt/vhdl/tests/528.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,429 root INFO copying pythondata_cpu_microwatt/vhdl/tests/528.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,430 root INFO copying pythondata_cpu_microwatt/vhdl/tests/529.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,430 root INFO copying pythondata_cpu_microwatt/vhdl/tests/529.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,430 root INFO copying pythondata_cpu_microwatt/vhdl/tests/53.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,431 root INFO copying pythondata_cpu_microwatt/vhdl/tests/53.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,431 root INFO copying pythondata_cpu_microwatt/vhdl/tests/530.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,431 root INFO copying pythondata_cpu_microwatt/vhdl/tests/530.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,432 root INFO copying pythondata_cpu_microwatt/vhdl/tests/531.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,432 root INFO copying pythondata_cpu_microwatt/vhdl/tests/531.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,432 root INFO copying pythondata_cpu_microwatt/vhdl/tests/532.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,433 root INFO copying pythondata_cpu_microwatt/vhdl/tests/532.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,433 root INFO copying pythondata_cpu_microwatt/vhdl/tests/533.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,433 root INFO copying pythondata_cpu_microwatt/vhdl/tests/533.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,434 root INFO copying pythondata_cpu_microwatt/vhdl/tests/534.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,434 root INFO copying pythondata_cpu_microwatt/vhdl/tests/534.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,434 root INFO copying pythondata_cpu_microwatt/vhdl/tests/535.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,435 root INFO copying pythondata_cpu_microwatt/vhdl/tests/535.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,435 root INFO copying pythondata_cpu_microwatt/vhdl/tests/536.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,435 root INFO copying pythondata_cpu_microwatt/vhdl/tests/536.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,435 root INFO copying pythondata_cpu_microwatt/vhdl/tests/537.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,436 root INFO copying pythondata_cpu_microwatt/vhdl/tests/537.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,436 root INFO copying pythondata_cpu_microwatt/vhdl/tests/538.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,437 root INFO copying pythondata_cpu_microwatt/vhdl/tests/538.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,437 root INFO copying pythondata_cpu_microwatt/vhdl/tests/539.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,437 root INFO copying pythondata_cpu_microwatt/vhdl/tests/539.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,437 root INFO copying pythondata_cpu_microwatt/vhdl/tests/54.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,438 root INFO copying pythondata_cpu_microwatt/vhdl/tests/54.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,438 root INFO copying pythondata_cpu_microwatt/vhdl/tests/540.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,439 root INFO copying pythondata_cpu_microwatt/vhdl/tests/540.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,439 root INFO copying pythondata_cpu_microwatt/vhdl/tests/541.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,439 root INFO copying pythondata_cpu_microwatt/vhdl/tests/541.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,439 root INFO copying pythondata_cpu_microwatt/vhdl/tests/542.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,440 root INFO copying pythondata_cpu_microwatt/vhdl/tests/542.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,440 root INFO copying pythondata_cpu_microwatt/vhdl/tests/543.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,440 root INFO copying pythondata_cpu_microwatt/vhdl/tests/543.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,441 root INFO copying pythondata_cpu_microwatt/vhdl/tests/544.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,441 root INFO copying pythondata_cpu_microwatt/vhdl/tests/544.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,441 root INFO copying pythondata_cpu_microwatt/vhdl/tests/545.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,442 root INFO copying pythondata_cpu_microwatt/vhdl/tests/545.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,442 root INFO copying pythondata_cpu_microwatt/vhdl/tests/546.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,442 root INFO copying pythondata_cpu_microwatt/vhdl/tests/546.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,443 root INFO copying pythondata_cpu_microwatt/vhdl/tests/547.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,443 root INFO copying pythondata_cpu_microwatt/vhdl/tests/547.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,443 root INFO copying pythondata_cpu_microwatt/vhdl/tests/548.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,444 root INFO copying pythondata_cpu_microwatt/vhdl/tests/548.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,444 root INFO copying pythondata_cpu_microwatt/vhdl/tests/549.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,444 root INFO copying pythondata_cpu_microwatt/vhdl/tests/549.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,444 root INFO copying pythondata_cpu_microwatt/vhdl/tests/55.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,445 root INFO copying pythondata_cpu_microwatt/vhdl/tests/55.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,445 root INFO copying pythondata_cpu_microwatt/vhdl/tests/550.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,446 root INFO copying pythondata_cpu_microwatt/vhdl/tests/550.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,446 root INFO copying pythondata_cpu_microwatt/vhdl/tests/551.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,446 root INFO copying pythondata_cpu_microwatt/vhdl/tests/551.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,446 root INFO copying pythondata_cpu_microwatt/vhdl/tests/552.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,447 root INFO copying pythondata_cpu_microwatt/vhdl/tests/552.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,447 root INFO copying pythondata_cpu_microwatt/vhdl/tests/553.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,447 root INFO copying pythondata_cpu_microwatt/vhdl/tests/553.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,448 root INFO copying pythondata_cpu_microwatt/vhdl/tests/554.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,448 root INFO copying pythondata_cpu_microwatt/vhdl/tests/554.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,448 root INFO copying pythondata_cpu_microwatt/vhdl/tests/555.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,449 root INFO copying pythondata_cpu_microwatt/vhdl/tests/555.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,449 root INFO copying pythondata_cpu_microwatt/vhdl/tests/556.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,449 root INFO copying pythondata_cpu_microwatt/vhdl/tests/556.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,449 root INFO copying pythondata_cpu_microwatt/vhdl/tests/557.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,450 root INFO copying pythondata_cpu_microwatt/vhdl/tests/557.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,450 root INFO copying pythondata_cpu_microwatt/vhdl/tests/558.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,451 root INFO copying pythondata_cpu_microwatt/vhdl/tests/558.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,451 root INFO copying pythondata_cpu_microwatt/vhdl/tests/559.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,451 root INFO copying pythondata_cpu_microwatt/vhdl/tests/559.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,451 root INFO copying pythondata_cpu_microwatt/vhdl/tests/56.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,452 root INFO copying pythondata_cpu_microwatt/vhdl/tests/56.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,452 root INFO copying pythondata_cpu_microwatt/vhdl/tests/560.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,452 root INFO copying pythondata_cpu_microwatt/vhdl/tests/560.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,453 root INFO copying pythondata_cpu_microwatt/vhdl/tests/561.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,453 root INFO copying pythondata_cpu_microwatt/vhdl/tests/561.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,453 root INFO copying pythondata_cpu_microwatt/vhdl/tests/562.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,454 root INFO copying pythondata_cpu_microwatt/vhdl/tests/562.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,454 root INFO copying pythondata_cpu_microwatt/vhdl/tests/563.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,454 root INFO copying pythondata_cpu_microwatt/vhdl/tests/563.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,455 root INFO copying pythondata_cpu_microwatt/vhdl/tests/564.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,455 root INFO copying pythondata_cpu_microwatt/vhdl/tests/564.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,455 root INFO copying pythondata_cpu_microwatt/vhdl/tests/565.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,456 root INFO copying pythondata_cpu_microwatt/vhdl/tests/565.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,456 root INFO copying pythondata_cpu_microwatt/vhdl/tests/566.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,456 root INFO copying pythondata_cpu_microwatt/vhdl/tests/566.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,456 root INFO copying pythondata_cpu_microwatt/vhdl/tests/567.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,457 root INFO copying pythondata_cpu_microwatt/vhdl/tests/567.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,457 root INFO copying pythondata_cpu_microwatt/vhdl/tests/568.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,457 root INFO copying pythondata_cpu_microwatt/vhdl/tests/568.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,458 root INFO copying pythondata_cpu_microwatt/vhdl/tests/569.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,458 root INFO copying pythondata_cpu_microwatt/vhdl/tests/569.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,458 root INFO copying pythondata_cpu_microwatt/vhdl/tests/57.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,459 root INFO copying pythondata_cpu_microwatt/vhdl/tests/57.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,459 root INFO copying pythondata_cpu_microwatt/vhdl/tests/570.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,460 root INFO copying pythondata_cpu_microwatt/vhdl/tests/570.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,460 root INFO copying pythondata_cpu_microwatt/vhdl/tests/571.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,460 root INFO copying pythondata_cpu_microwatt/vhdl/tests/571.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,460 root INFO copying pythondata_cpu_microwatt/vhdl/tests/572.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,461 root INFO copying pythondata_cpu_microwatt/vhdl/tests/572.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,461 root INFO copying pythondata_cpu_microwatt/vhdl/tests/573.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,461 root INFO copying pythondata_cpu_microwatt/vhdl/tests/573.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,462 root INFO copying pythondata_cpu_microwatt/vhdl/tests/574.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,462 root INFO copying pythondata_cpu_microwatt/vhdl/tests/574.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,462 root INFO copying pythondata_cpu_microwatt/vhdl/tests/575.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,463 root INFO copying pythondata_cpu_microwatt/vhdl/tests/575.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,463 root INFO copying pythondata_cpu_microwatt/vhdl/tests/576.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,463 root INFO copying pythondata_cpu_microwatt/vhdl/tests/576.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,464 root INFO copying pythondata_cpu_microwatt/vhdl/tests/577.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,464 root INFO copying pythondata_cpu_microwatt/vhdl/tests/577.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,464 root INFO copying pythondata_cpu_microwatt/vhdl/tests/578.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,465 root INFO copying pythondata_cpu_microwatt/vhdl/tests/578.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,465 root INFO copying pythondata_cpu_microwatt/vhdl/tests/579.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,465 root INFO copying pythondata_cpu_microwatt/vhdl/tests/579.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,465 root INFO copying pythondata_cpu_microwatt/vhdl/tests/58.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,466 root INFO copying pythondata_cpu_microwatt/vhdl/tests/58.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,466 root INFO copying pythondata_cpu_microwatt/vhdl/tests/580.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,466 root INFO copying pythondata_cpu_microwatt/vhdl/tests/580.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,467 root INFO copying pythondata_cpu_microwatt/vhdl/tests/581.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,467 root INFO copying pythondata_cpu_microwatt/vhdl/tests/581.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,467 root INFO copying pythondata_cpu_microwatt/vhdl/tests/582.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,468 root INFO copying pythondata_cpu_microwatt/vhdl/tests/582.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,468 root INFO copying pythondata_cpu_microwatt/vhdl/tests/583.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,468 root INFO copying pythondata_cpu_microwatt/vhdl/tests/583.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,469 root INFO copying pythondata_cpu_microwatt/vhdl/tests/584.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,469 root INFO copying pythondata_cpu_microwatt/vhdl/tests/584.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,469 root INFO copying pythondata_cpu_microwatt/vhdl/tests/585.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,470 root INFO copying pythondata_cpu_microwatt/vhdl/tests/585.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,470 root INFO copying pythondata_cpu_microwatt/vhdl/tests/586.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,470 root INFO copying pythondata_cpu_microwatt/vhdl/tests/586.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,470 root INFO copying pythondata_cpu_microwatt/vhdl/tests/587.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,471 root INFO copying pythondata_cpu_microwatt/vhdl/tests/587.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,471 root INFO copying pythondata_cpu_microwatt/vhdl/tests/588.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,471 root INFO copying pythondata_cpu_microwatt/vhdl/tests/588.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,472 root INFO copying pythondata_cpu_microwatt/vhdl/tests/589.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,472 root INFO copying pythondata_cpu_microwatt/vhdl/tests/589.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,472 root INFO copying pythondata_cpu_microwatt/vhdl/tests/59.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,473 root INFO copying pythondata_cpu_microwatt/vhdl/tests/59.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,473 root INFO copying pythondata_cpu_microwatt/vhdl/tests/590.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,474 root INFO copying pythondata_cpu_microwatt/vhdl/tests/590.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,474 root INFO copying pythondata_cpu_microwatt/vhdl/tests/591.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,474 root INFO copying pythondata_cpu_microwatt/vhdl/tests/591.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,475 root INFO copying pythondata_cpu_microwatt/vhdl/tests/592.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,475 root INFO copying pythondata_cpu_microwatt/vhdl/tests/592.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,475 root INFO copying pythondata_cpu_microwatt/vhdl/tests/593.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,476 root INFO copying pythondata_cpu_microwatt/vhdl/tests/593.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,476 root INFO copying pythondata_cpu_microwatt/vhdl/tests/594.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,476 root INFO copying pythondata_cpu_microwatt/vhdl/tests/594.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,477 root INFO copying pythondata_cpu_microwatt/vhdl/tests/595.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,477 root INFO copying pythondata_cpu_microwatt/vhdl/tests/595.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,477 root INFO copying pythondata_cpu_microwatt/vhdl/tests/596.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,478 root INFO copying pythondata_cpu_microwatt/vhdl/tests/596.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,478 root INFO copying pythondata_cpu_microwatt/vhdl/tests/597.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,478 root INFO copying pythondata_cpu_microwatt/vhdl/tests/597.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,478 root INFO copying pythondata_cpu_microwatt/vhdl/tests/598.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,479 root INFO copying pythondata_cpu_microwatt/vhdl/tests/598.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,479 root INFO copying pythondata_cpu_microwatt/vhdl/tests/599.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,479 root INFO copying pythondata_cpu_microwatt/vhdl/tests/599.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,480 root INFO copying pythondata_cpu_microwatt/vhdl/tests/6.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,480 root INFO copying pythondata_cpu_microwatt/vhdl/tests/6.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,480 root INFO copying pythondata_cpu_microwatt/vhdl/tests/60.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,481 root INFO copying pythondata_cpu_microwatt/vhdl/tests/60.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,481 root INFO copying pythondata_cpu_microwatt/vhdl/tests/600.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,481 root INFO copying pythondata_cpu_microwatt/vhdl/tests/600.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,482 root INFO copying pythondata_cpu_microwatt/vhdl/tests/601.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,482 root INFO copying pythondata_cpu_microwatt/vhdl/tests/601.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,482 root INFO copying pythondata_cpu_microwatt/vhdl/tests/602.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,483 root INFO copying pythondata_cpu_microwatt/vhdl/tests/602.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,483 root INFO copying pythondata_cpu_microwatt/vhdl/tests/603.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,483 root INFO copying pythondata_cpu_microwatt/vhdl/tests/603.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,483 root INFO copying pythondata_cpu_microwatt/vhdl/tests/604.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,484 root INFO copying pythondata_cpu_microwatt/vhdl/tests/604.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,484 root INFO copying pythondata_cpu_microwatt/vhdl/tests/605.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,484 root INFO copying pythondata_cpu_microwatt/vhdl/tests/605.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,485 root INFO copying pythondata_cpu_microwatt/vhdl/tests/606.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,485 root INFO copying pythondata_cpu_microwatt/vhdl/tests/606.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,485 root INFO copying pythondata_cpu_microwatt/vhdl/tests/607.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,486 root INFO copying pythondata_cpu_microwatt/vhdl/tests/607.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,486 root INFO copying pythondata_cpu_microwatt/vhdl/tests/608.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,486 root INFO copying pythondata_cpu_microwatt/vhdl/tests/608.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,487 root INFO copying pythondata_cpu_microwatt/vhdl/tests/609.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,487 root INFO copying pythondata_cpu_microwatt/vhdl/tests/609.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,487 root INFO copying pythondata_cpu_microwatt/vhdl/tests/61.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,488 root INFO copying pythondata_cpu_microwatt/vhdl/tests/61.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,488 root INFO copying pythondata_cpu_microwatt/vhdl/tests/610.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,488 root INFO copying pythondata_cpu_microwatt/vhdl/tests/610.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,489 root INFO copying pythondata_cpu_microwatt/vhdl/tests/611.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,489 root INFO copying pythondata_cpu_microwatt/vhdl/tests/611.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,489 root INFO copying pythondata_cpu_microwatt/vhdl/tests/612.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,490 root INFO copying pythondata_cpu_microwatt/vhdl/tests/612.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,490 root INFO copying pythondata_cpu_microwatt/vhdl/tests/613.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,490 root INFO copying pythondata_cpu_microwatt/vhdl/tests/613.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,490 root INFO copying pythondata_cpu_microwatt/vhdl/tests/614.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,491 root INFO copying pythondata_cpu_microwatt/vhdl/tests/614.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,491 root INFO copying pythondata_cpu_microwatt/vhdl/tests/615.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,491 root INFO copying pythondata_cpu_microwatt/vhdl/tests/615.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,492 root INFO copying pythondata_cpu_microwatt/vhdl/tests/616.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,492 root INFO copying pythondata_cpu_microwatt/vhdl/tests/616.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,492 root INFO copying pythondata_cpu_microwatt/vhdl/tests/617.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,493 root INFO copying pythondata_cpu_microwatt/vhdl/tests/617.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,493 root INFO copying pythondata_cpu_microwatt/vhdl/tests/618.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,493 root INFO copying pythondata_cpu_microwatt/vhdl/tests/618.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,493 root INFO copying pythondata_cpu_microwatt/vhdl/tests/619.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,494 root INFO copying pythondata_cpu_microwatt/vhdl/tests/619.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,494 root INFO copying pythondata_cpu_microwatt/vhdl/tests/62.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,495 root INFO copying pythondata_cpu_microwatt/vhdl/tests/62.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,495 root INFO copying pythondata_cpu_microwatt/vhdl/tests/620.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,495 root INFO copying pythondata_cpu_microwatt/vhdl/tests/620.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,496 root INFO copying pythondata_cpu_microwatt/vhdl/tests/621.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,496 root INFO copying pythondata_cpu_microwatt/vhdl/tests/621.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,496 root INFO copying pythondata_cpu_microwatt/vhdl/tests/622.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,497 root INFO copying pythondata_cpu_microwatt/vhdl/tests/622.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,497 root INFO copying pythondata_cpu_microwatt/vhdl/tests/623.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,497 root INFO copying pythondata_cpu_microwatt/vhdl/tests/623.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,497 root INFO copying pythondata_cpu_microwatt/vhdl/tests/624.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,498 root INFO copying pythondata_cpu_microwatt/vhdl/tests/624.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,498 root INFO copying pythondata_cpu_microwatt/vhdl/tests/625.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,498 root INFO copying pythondata_cpu_microwatt/vhdl/tests/625.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,499 root INFO copying pythondata_cpu_microwatt/vhdl/tests/626.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,499 root INFO copying pythondata_cpu_microwatt/vhdl/tests/626.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,499 root INFO copying pythondata_cpu_microwatt/vhdl/tests/627.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,500 root INFO copying pythondata_cpu_microwatt/vhdl/tests/627.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,500 root INFO copying pythondata_cpu_microwatt/vhdl/tests/628.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,500 root INFO copying pythondata_cpu_microwatt/vhdl/tests/628.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,501 root INFO copying pythondata_cpu_microwatt/vhdl/tests/629.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,501 root INFO copying pythondata_cpu_microwatt/vhdl/tests/629.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,501 root INFO copying pythondata_cpu_microwatt/vhdl/tests/63.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,501 root INFO copying pythondata_cpu_microwatt/vhdl/tests/63.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,502 root INFO copying pythondata_cpu_microwatt/vhdl/tests/630.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,502 root INFO copying pythondata_cpu_microwatt/vhdl/tests/630.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,502 root INFO copying pythondata_cpu_microwatt/vhdl/tests/631.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,503 root INFO copying pythondata_cpu_microwatt/vhdl/tests/631.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,503 root INFO copying pythondata_cpu_microwatt/vhdl/tests/632.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,503 root INFO copying pythondata_cpu_microwatt/vhdl/tests/632.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,504 root INFO copying pythondata_cpu_microwatt/vhdl/tests/633.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,504 root INFO copying pythondata_cpu_microwatt/vhdl/tests/633.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,504 root INFO copying pythondata_cpu_microwatt/vhdl/tests/634.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,505 root INFO copying pythondata_cpu_microwatt/vhdl/tests/634.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,505 root INFO copying pythondata_cpu_microwatt/vhdl/tests/635.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,505 root INFO copying pythondata_cpu_microwatt/vhdl/tests/635.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,506 root INFO copying pythondata_cpu_microwatt/vhdl/tests/636.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,506 root INFO copying pythondata_cpu_microwatt/vhdl/tests/636.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,506 root INFO copying pythondata_cpu_microwatt/vhdl/tests/637.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,507 root INFO copying pythondata_cpu_microwatt/vhdl/tests/637.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,507 root INFO copying pythondata_cpu_microwatt/vhdl/tests/638.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,507 root INFO copying pythondata_cpu_microwatt/vhdl/tests/638.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,507 root INFO copying pythondata_cpu_microwatt/vhdl/tests/639.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,508 root INFO copying pythondata_cpu_microwatt/vhdl/tests/639.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,508 root INFO copying pythondata_cpu_microwatt/vhdl/tests/64.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,508 root INFO copying pythondata_cpu_microwatt/vhdl/tests/64.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,509 root INFO copying pythondata_cpu_microwatt/vhdl/tests/640.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,509 root INFO copying pythondata_cpu_microwatt/vhdl/tests/640.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,509 root INFO copying pythondata_cpu_microwatt/vhdl/tests/641.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,510 root INFO copying pythondata_cpu_microwatt/vhdl/tests/641.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,510 root INFO copying pythondata_cpu_microwatt/vhdl/tests/642.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,510 root INFO copying pythondata_cpu_microwatt/vhdl/tests/642.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,511 root INFO copying pythondata_cpu_microwatt/vhdl/tests/643.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,511 root INFO copying pythondata_cpu_microwatt/vhdl/tests/643.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,511 root INFO copying pythondata_cpu_microwatt/vhdl/tests/644.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,512 root INFO copying pythondata_cpu_microwatt/vhdl/tests/644.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,512 root INFO copying pythondata_cpu_microwatt/vhdl/tests/645.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,512 root INFO copying pythondata_cpu_microwatt/vhdl/tests/645.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,513 root INFO copying pythondata_cpu_microwatt/vhdl/tests/646.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,513 root INFO copying pythondata_cpu_microwatt/vhdl/tests/646.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,513 root INFO copying pythondata_cpu_microwatt/vhdl/tests/647.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,514 root INFO copying pythondata_cpu_microwatt/vhdl/tests/647.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,514 root INFO copying pythondata_cpu_microwatt/vhdl/tests/648.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,514 root INFO copying pythondata_cpu_microwatt/vhdl/tests/648.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,514 root INFO copying pythondata_cpu_microwatt/vhdl/tests/649.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,515 root INFO copying pythondata_cpu_microwatt/vhdl/tests/649.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,515 root INFO copying pythondata_cpu_microwatt/vhdl/tests/65.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,515 root INFO copying pythondata_cpu_microwatt/vhdl/tests/65.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,516 root INFO copying pythondata_cpu_microwatt/vhdl/tests/650.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,516 root INFO copying pythondata_cpu_microwatt/vhdl/tests/650.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,516 root INFO copying pythondata_cpu_microwatt/vhdl/tests/651.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,517 root INFO copying pythondata_cpu_microwatt/vhdl/tests/651.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,517 root INFO copying pythondata_cpu_microwatt/vhdl/tests/652.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,517 root INFO copying pythondata_cpu_microwatt/vhdl/tests/652.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,518 root INFO copying pythondata_cpu_microwatt/vhdl/tests/653.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,518 root INFO copying pythondata_cpu_microwatt/vhdl/tests/653.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,518 root INFO copying pythondata_cpu_microwatt/vhdl/tests/654.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,519 root INFO copying pythondata_cpu_microwatt/vhdl/tests/654.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,519 root INFO copying pythondata_cpu_microwatt/vhdl/tests/655.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,519 root INFO copying pythondata_cpu_microwatt/vhdl/tests/655.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,519 root INFO copying pythondata_cpu_microwatt/vhdl/tests/656.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,520 root INFO copying pythondata_cpu_microwatt/vhdl/tests/656.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,520 root INFO copying pythondata_cpu_microwatt/vhdl/tests/657.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,520 root INFO copying pythondata_cpu_microwatt/vhdl/tests/657.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,521 root INFO copying pythondata_cpu_microwatt/vhdl/tests/658.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,521 root INFO copying pythondata_cpu_microwatt/vhdl/tests/658.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,521 root INFO copying pythondata_cpu_microwatt/vhdl/tests/659.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,522 root INFO copying pythondata_cpu_microwatt/vhdl/tests/659.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,522 root INFO copying pythondata_cpu_microwatt/vhdl/tests/66.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,522 root INFO copying pythondata_cpu_microwatt/vhdl/tests/66.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,523 root INFO copying pythondata_cpu_microwatt/vhdl/tests/660.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,523 root INFO copying pythondata_cpu_microwatt/vhdl/tests/660.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,523 root INFO copying pythondata_cpu_microwatt/vhdl/tests/661.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,524 root INFO copying pythondata_cpu_microwatt/vhdl/tests/661.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,524 root INFO copying pythondata_cpu_microwatt/vhdl/tests/662.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,524 root INFO copying pythondata_cpu_microwatt/vhdl/tests/662.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,524 root INFO copying pythondata_cpu_microwatt/vhdl/tests/663.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,525 root INFO copying pythondata_cpu_microwatt/vhdl/tests/663.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,525 root INFO copying pythondata_cpu_microwatt/vhdl/tests/664.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,526 root INFO copying pythondata_cpu_microwatt/vhdl/tests/664.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,526 root INFO copying pythondata_cpu_microwatt/vhdl/tests/665.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,526 root INFO copying pythondata_cpu_microwatt/vhdl/tests/665.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,526 root INFO copying pythondata_cpu_microwatt/vhdl/tests/666.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,527 root INFO copying pythondata_cpu_microwatt/vhdl/tests/666.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,527 root INFO copying pythondata_cpu_microwatt/vhdl/tests/667.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,527 root INFO copying pythondata_cpu_microwatt/vhdl/tests/667.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,528 root INFO copying pythondata_cpu_microwatt/vhdl/tests/668.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,528 root INFO copying pythondata_cpu_microwatt/vhdl/tests/668.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,528 root INFO copying pythondata_cpu_microwatt/vhdl/tests/669.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,529 root INFO copying pythondata_cpu_microwatt/vhdl/tests/669.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,529 root INFO copying pythondata_cpu_microwatt/vhdl/tests/67.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,529 root INFO copying pythondata_cpu_microwatt/vhdl/tests/67.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,529 root INFO copying pythondata_cpu_microwatt/vhdl/tests/670.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,530 root INFO copying pythondata_cpu_microwatt/vhdl/tests/670.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,530 root INFO copying pythondata_cpu_microwatt/vhdl/tests/671.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,531 root INFO copying pythondata_cpu_microwatt/vhdl/tests/671.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,531 root INFO copying pythondata_cpu_microwatt/vhdl/tests/672.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,531 root INFO copying pythondata_cpu_microwatt/vhdl/tests/672.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,531 root INFO copying pythondata_cpu_microwatt/vhdl/tests/673.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,532 root INFO copying pythondata_cpu_microwatt/vhdl/tests/673.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,532 root INFO copying pythondata_cpu_microwatt/vhdl/tests/674.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,532 root INFO copying pythondata_cpu_microwatt/vhdl/tests/674.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,533 root INFO copying pythondata_cpu_microwatt/vhdl/tests/675.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,533 root INFO copying pythondata_cpu_microwatt/vhdl/tests/675.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,533 root INFO copying pythondata_cpu_microwatt/vhdl/tests/676.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,534 root INFO copying pythondata_cpu_microwatt/vhdl/tests/676.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,534 root INFO copying pythondata_cpu_microwatt/vhdl/tests/677.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,534 root INFO copying pythondata_cpu_microwatt/vhdl/tests/677.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,535 root INFO copying pythondata_cpu_microwatt/vhdl/tests/678.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,535 root INFO copying pythondata_cpu_microwatt/vhdl/tests/678.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,535 root INFO copying pythondata_cpu_microwatt/vhdl/tests/679.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,536 root INFO copying pythondata_cpu_microwatt/vhdl/tests/679.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,536 root INFO copying pythondata_cpu_microwatt/vhdl/tests/68.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,536 root INFO copying pythondata_cpu_microwatt/vhdl/tests/68.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,536 root INFO copying pythondata_cpu_microwatt/vhdl/tests/680.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,537 root INFO copying pythondata_cpu_microwatt/vhdl/tests/680.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,537 root INFO copying pythondata_cpu_microwatt/vhdl/tests/681.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,537 root INFO copying pythondata_cpu_microwatt/vhdl/tests/681.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,538 root INFO copying pythondata_cpu_microwatt/vhdl/tests/682.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,538 root INFO copying pythondata_cpu_microwatt/vhdl/tests/682.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,538 root INFO copying pythondata_cpu_microwatt/vhdl/tests/683.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,539 root INFO copying pythondata_cpu_microwatt/vhdl/tests/683.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,539 root INFO copying pythondata_cpu_microwatt/vhdl/tests/684.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,539 root INFO copying pythondata_cpu_microwatt/vhdl/tests/684.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,540 root INFO copying pythondata_cpu_microwatt/vhdl/tests/685.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,540 root INFO copying pythondata_cpu_microwatt/vhdl/tests/685.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,540 root INFO copying pythondata_cpu_microwatt/vhdl/tests/686.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,541 root INFO copying pythondata_cpu_microwatt/vhdl/tests/686.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,541 root INFO copying pythondata_cpu_microwatt/vhdl/tests/687.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,541 root INFO copying pythondata_cpu_microwatt/vhdl/tests/687.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,541 root INFO copying pythondata_cpu_microwatt/vhdl/tests/688.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,542 root INFO copying pythondata_cpu_microwatt/vhdl/tests/688.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,542 root INFO copying pythondata_cpu_microwatt/vhdl/tests/689.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,542 root INFO copying pythondata_cpu_microwatt/vhdl/tests/689.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,543 root INFO copying pythondata_cpu_microwatt/vhdl/tests/69.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,543 root INFO copying pythondata_cpu_microwatt/vhdl/tests/69.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,543 root INFO copying pythondata_cpu_microwatt/vhdl/tests/690.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,544 root INFO copying pythondata_cpu_microwatt/vhdl/tests/690.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,544 root INFO copying pythondata_cpu_microwatt/vhdl/tests/691.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,544 root INFO copying pythondata_cpu_microwatt/vhdl/tests/691.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,545 root INFO copying pythondata_cpu_microwatt/vhdl/tests/692.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,545 root INFO copying pythondata_cpu_microwatt/vhdl/tests/692.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,545 root INFO copying pythondata_cpu_microwatt/vhdl/tests/693.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,546 root INFO copying pythondata_cpu_microwatt/vhdl/tests/693.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,546 root INFO copying pythondata_cpu_microwatt/vhdl/tests/694.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,546 root INFO copying pythondata_cpu_microwatt/vhdl/tests/694.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,546 root INFO copying pythondata_cpu_microwatt/vhdl/tests/695.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,547 root INFO copying pythondata_cpu_microwatt/vhdl/tests/695.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,547 root INFO copying pythondata_cpu_microwatt/vhdl/tests/696.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,548 root INFO copying pythondata_cpu_microwatt/vhdl/tests/696.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,548 root INFO copying pythondata_cpu_microwatt/vhdl/tests/697.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,548 root INFO copying pythondata_cpu_microwatt/vhdl/tests/697.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,548 root INFO copying pythondata_cpu_microwatt/vhdl/tests/698.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,549 root INFO copying pythondata_cpu_microwatt/vhdl/tests/698.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,549 root INFO copying pythondata_cpu_microwatt/vhdl/tests/699.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,549 root INFO copying pythondata_cpu_microwatt/vhdl/tests/699.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,550 root INFO copying pythondata_cpu_microwatt/vhdl/tests/7.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,550 root INFO copying pythondata_cpu_microwatt/vhdl/tests/7.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,550 root INFO copying pythondata_cpu_microwatt/vhdl/tests/70.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,551 root INFO copying pythondata_cpu_microwatt/vhdl/tests/70.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,551 root INFO copying pythondata_cpu_microwatt/vhdl/tests/700.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,551 root INFO copying pythondata_cpu_microwatt/vhdl/tests/700.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,551 root INFO copying pythondata_cpu_microwatt/vhdl/tests/701.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,552 root INFO copying pythondata_cpu_microwatt/vhdl/tests/701.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,552 root INFO copying pythondata_cpu_microwatt/vhdl/tests/702.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,553 root INFO copying pythondata_cpu_microwatt/vhdl/tests/702.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,553 root INFO copying pythondata_cpu_microwatt/vhdl/tests/703.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,553 root INFO copying pythondata_cpu_microwatt/vhdl/tests/703.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,553 root INFO copying pythondata_cpu_microwatt/vhdl/tests/704.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,554 root INFO copying pythondata_cpu_microwatt/vhdl/tests/704.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,554 root INFO copying pythondata_cpu_microwatt/vhdl/tests/705.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,554 root INFO copying pythondata_cpu_microwatt/vhdl/tests/705.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,555 root INFO copying pythondata_cpu_microwatt/vhdl/tests/706.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,555 root INFO copying pythondata_cpu_microwatt/vhdl/tests/706.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,555 root INFO copying pythondata_cpu_microwatt/vhdl/tests/707.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,556 root INFO copying pythondata_cpu_microwatt/vhdl/tests/707.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,556 root INFO copying pythondata_cpu_microwatt/vhdl/tests/708.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,556 root INFO copying pythondata_cpu_microwatt/vhdl/tests/708.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,557 root INFO copying pythondata_cpu_microwatt/vhdl/tests/709.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,557 root INFO copying pythondata_cpu_microwatt/vhdl/tests/709.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,557 root INFO copying pythondata_cpu_microwatt/vhdl/tests/71.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,558 root INFO copying pythondata_cpu_microwatt/vhdl/tests/71.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,558 root INFO copying pythondata_cpu_microwatt/vhdl/tests/710.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,558 root INFO copying pythondata_cpu_microwatt/vhdl/tests/710.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,558 root INFO copying pythondata_cpu_microwatt/vhdl/tests/711.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,559 root INFO copying pythondata_cpu_microwatt/vhdl/tests/711.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,559 root INFO copying pythondata_cpu_microwatt/vhdl/tests/712.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,559 root INFO copying pythondata_cpu_microwatt/vhdl/tests/712.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,560 root INFO copying pythondata_cpu_microwatt/vhdl/tests/713.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,560 root INFO copying pythondata_cpu_microwatt/vhdl/tests/713.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,560 root INFO copying pythondata_cpu_microwatt/vhdl/tests/714.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,561 root INFO copying pythondata_cpu_microwatt/vhdl/tests/714.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,561 root INFO copying pythondata_cpu_microwatt/vhdl/tests/715.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,561 root INFO copying pythondata_cpu_microwatt/vhdl/tests/715.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,562 root INFO copying pythondata_cpu_microwatt/vhdl/tests/716.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,562 root INFO copying pythondata_cpu_microwatt/vhdl/tests/716.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,562 root INFO copying pythondata_cpu_microwatt/vhdl/tests/717.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,563 root INFO copying pythondata_cpu_microwatt/vhdl/tests/717.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,563 root INFO copying pythondata_cpu_microwatt/vhdl/tests/718.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,563 root INFO copying pythondata_cpu_microwatt/vhdl/tests/718.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,563 root INFO copying pythondata_cpu_microwatt/vhdl/tests/719.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,564 root INFO copying pythondata_cpu_microwatt/vhdl/tests/719.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,564 root INFO copying pythondata_cpu_microwatt/vhdl/tests/72.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,564 root INFO copying pythondata_cpu_microwatt/vhdl/tests/72.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,565 root INFO copying pythondata_cpu_microwatt/vhdl/tests/720.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,565 root INFO copying pythondata_cpu_microwatt/vhdl/tests/720.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,565 root INFO copying pythondata_cpu_microwatt/vhdl/tests/721.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,566 root INFO copying pythondata_cpu_microwatt/vhdl/tests/721.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,566 root INFO copying pythondata_cpu_microwatt/vhdl/tests/722.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,566 root INFO copying pythondata_cpu_microwatt/vhdl/tests/722.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,567 root INFO copying pythondata_cpu_microwatt/vhdl/tests/723.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,567 root INFO copying pythondata_cpu_microwatt/vhdl/tests/723.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,567 root INFO copying pythondata_cpu_microwatt/vhdl/tests/724.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,568 root INFO copying pythondata_cpu_microwatt/vhdl/tests/724.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,568 root INFO copying pythondata_cpu_microwatt/vhdl/tests/725.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,568 root INFO copying pythondata_cpu_microwatt/vhdl/tests/725.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,568 root INFO copying pythondata_cpu_microwatt/vhdl/tests/726.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,569 root INFO copying pythondata_cpu_microwatt/vhdl/tests/726.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,569 root INFO copying pythondata_cpu_microwatt/vhdl/tests/727.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,570 root INFO copying pythondata_cpu_microwatt/vhdl/tests/727.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,570 root INFO copying pythondata_cpu_microwatt/vhdl/tests/728.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,570 root INFO copying pythondata_cpu_microwatt/vhdl/tests/728.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,570 root INFO copying pythondata_cpu_microwatt/vhdl/tests/729.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,571 root INFO copying pythondata_cpu_microwatt/vhdl/tests/729.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,571 root INFO copying pythondata_cpu_microwatt/vhdl/tests/73.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,571 root INFO copying pythondata_cpu_microwatt/vhdl/tests/73.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,572 root INFO copying pythondata_cpu_microwatt/vhdl/tests/730.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,572 root INFO copying pythondata_cpu_microwatt/vhdl/tests/730.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,572 root INFO copying pythondata_cpu_microwatt/vhdl/tests/731.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,573 root INFO copying pythondata_cpu_microwatt/vhdl/tests/731.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,573 root INFO copying pythondata_cpu_microwatt/vhdl/tests/732.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,573 root INFO copying pythondata_cpu_microwatt/vhdl/tests/732.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,573 root INFO copying pythondata_cpu_microwatt/vhdl/tests/733.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,574 root INFO copying pythondata_cpu_microwatt/vhdl/tests/733.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,574 root INFO copying pythondata_cpu_microwatt/vhdl/tests/734.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,575 root INFO copying pythondata_cpu_microwatt/vhdl/tests/734.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,575 root INFO copying pythondata_cpu_microwatt/vhdl/tests/735.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,575 root INFO copying pythondata_cpu_microwatt/vhdl/tests/735.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,575 root INFO copying pythondata_cpu_microwatt/vhdl/tests/736.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,576 root INFO copying pythondata_cpu_microwatt/vhdl/tests/736.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,576 root INFO copying pythondata_cpu_microwatt/vhdl/tests/737.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,576 root INFO copying pythondata_cpu_microwatt/vhdl/tests/737.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,577 root INFO copying pythondata_cpu_microwatt/vhdl/tests/738.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,577 root INFO copying pythondata_cpu_microwatt/vhdl/tests/738.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,577 root INFO copying pythondata_cpu_microwatt/vhdl/tests/739.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,578 root INFO copying pythondata_cpu_microwatt/vhdl/tests/739.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,578 root INFO copying pythondata_cpu_microwatt/vhdl/tests/74.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,578 root INFO copying pythondata_cpu_microwatt/vhdl/tests/74.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,579 root INFO copying pythondata_cpu_microwatt/vhdl/tests/740.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,579 root INFO copying pythondata_cpu_microwatt/vhdl/tests/740.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,579 root INFO copying pythondata_cpu_microwatt/vhdl/tests/741.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,580 root INFO copying pythondata_cpu_microwatt/vhdl/tests/741.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,580 root INFO copying pythondata_cpu_microwatt/vhdl/tests/742.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,580 root INFO copying pythondata_cpu_microwatt/vhdl/tests/742.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,580 root INFO copying pythondata_cpu_microwatt/vhdl/tests/743.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,581 root INFO copying pythondata_cpu_microwatt/vhdl/tests/743.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,581 root INFO copying pythondata_cpu_microwatt/vhdl/tests/744.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,581 root INFO copying pythondata_cpu_microwatt/vhdl/tests/744.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,582 root INFO copying pythondata_cpu_microwatt/vhdl/tests/745.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,582 root INFO copying pythondata_cpu_microwatt/vhdl/tests/745.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,582 root INFO copying pythondata_cpu_microwatt/vhdl/tests/746.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,583 root INFO copying pythondata_cpu_microwatt/vhdl/tests/746.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,583 root INFO copying pythondata_cpu_microwatt/vhdl/tests/747.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,583 root INFO copying pythondata_cpu_microwatt/vhdl/tests/747.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,584 root INFO copying pythondata_cpu_microwatt/vhdl/tests/748.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,584 root INFO copying pythondata_cpu_microwatt/vhdl/tests/748.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,584 root INFO copying pythondata_cpu_microwatt/vhdl/tests/749.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,585 root INFO copying pythondata_cpu_microwatt/vhdl/tests/749.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,585 root INFO copying pythondata_cpu_microwatt/vhdl/tests/75.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,585 root INFO copying pythondata_cpu_microwatt/vhdl/tests/75.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,585 root INFO copying pythondata_cpu_microwatt/vhdl/tests/750.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,586 root INFO copying pythondata_cpu_microwatt/vhdl/tests/750.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,586 root INFO copying pythondata_cpu_microwatt/vhdl/tests/751.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,586 root INFO copying pythondata_cpu_microwatt/vhdl/tests/751.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,587 root INFO copying pythondata_cpu_microwatt/vhdl/tests/752.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,587 root INFO copying pythondata_cpu_microwatt/vhdl/tests/752.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,587 root INFO copying pythondata_cpu_microwatt/vhdl/tests/753.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,588 root INFO copying pythondata_cpu_microwatt/vhdl/tests/753.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,588 root INFO copying pythondata_cpu_microwatt/vhdl/tests/754.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,588 root INFO copying pythondata_cpu_microwatt/vhdl/tests/754.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,589 root INFO copying pythondata_cpu_microwatt/vhdl/tests/755.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,589 root INFO copying pythondata_cpu_microwatt/vhdl/tests/755.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,589 root INFO copying pythondata_cpu_microwatt/vhdl/tests/756.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,590 root INFO copying pythondata_cpu_microwatt/vhdl/tests/756.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,590 root INFO copying pythondata_cpu_microwatt/vhdl/tests/757.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,590 root INFO copying pythondata_cpu_microwatt/vhdl/tests/757.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,590 root INFO copying pythondata_cpu_microwatt/vhdl/tests/758.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,591 root INFO copying pythondata_cpu_microwatt/vhdl/tests/758.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,591 root INFO copying pythondata_cpu_microwatt/vhdl/tests/759.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,592 root INFO copying pythondata_cpu_microwatt/vhdl/tests/759.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,592 root INFO copying pythondata_cpu_microwatt/vhdl/tests/76.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,592 root INFO copying pythondata_cpu_microwatt/vhdl/tests/76.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,592 root INFO copying pythondata_cpu_microwatt/vhdl/tests/760.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,593 root INFO copying pythondata_cpu_microwatt/vhdl/tests/760.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,593 root INFO copying pythondata_cpu_microwatt/vhdl/tests/761.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,593 root INFO copying pythondata_cpu_microwatt/vhdl/tests/761.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,594 root INFO copying pythondata_cpu_microwatt/vhdl/tests/762.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,594 root INFO copying pythondata_cpu_microwatt/vhdl/tests/762.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,594 root INFO copying pythondata_cpu_microwatt/vhdl/tests/763.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,595 root INFO copying pythondata_cpu_microwatt/vhdl/tests/763.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,595 root INFO copying pythondata_cpu_microwatt/vhdl/tests/764.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,595 root INFO copying pythondata_cpu_microwatt/vhdl/tests/764.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,596 root INFO copying pythondata_cpu_microwatt/vhdl/tests/765.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,596 root INFO copying pythondata_cpu_microwatt/vhdl/tests/765.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,596 root INFO copying pythondata_cpu_microwatt/vhdl/tests/766.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,597 root INFO copying pythondata_cpu_microwatt/vhdl/tests/766.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,597 root INFO copying pythondata_cpu_microwatt/vhdl/tests/767.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,597 root INFO copying pythondata_cpu_microwatt/vhdl/tests/767.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,597 root INFO copying pythondata_cpu_microwatt/vhdl/tests/768.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,598 root INFO copying pythondata_cpu_microwatt/vhdl/tests/768.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,598 root INFO copying pythondata_cpu_microwatt/vhdl/tests/769.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,599 root INFO copying pythondata_cpu_microwatt/vhdl/tests/769.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,599 root INFO copying pythondata_cpu_microwatt/vhdl/tests/77.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,599 root INFO copying pythondata_cpu_microwatt/vhdl/tests/77.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,600 root INFO copying pythondata_cpu_microwatt/vhdl/tests/770.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,600 root INFO copying pythondata_cpu_microwatt/vhdl/tests/770.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,600 root INFO copying pythondata_cpu_microwatt/vhdl/tests/771.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,601 root INFO copying pythondata_cpu_microwatt/vhdl/tests/771.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,601 root INFO copying pythondata_cpu_microwatt/vhdl/tests/772.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,601 root INFO copying pythondata_cpu_microwatt/vhdl/tests/772.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,602 root INFO copying pythondata_cpu_microwatt/vhdl/tests/773.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,602 root INFO copying pythondata_cpu_microwatt/vhdl/tests/773.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,602 root INFO copying pythondata_cpu_microwatt/vhdl/tests/774.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,603 root INFO copying pythondata_cpu_microwatt/vhdl/tests/774.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,603 root INFO copying pythondata_cpu_microwatt/vhdl/tests/775.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,603 root INFO copying pythondata_cpu_microwatt/vhdl/tests/775.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,603 root INFO copying pythondata_cpu_microwatt/vhdl/tests/776.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,604 root INFO copying pythondata_cpu_microwatt/vhdl/tests/776.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,604 root INFO copying pythondata_cpu_microwatt/vhdl/tests/777.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,604 root INFO copying pythondata_cpu_microwatt/vhdl/tests/777.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,605 root INFO copying pythondata_cpu_microwatt/vhdl/tests/778.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,605 root INFO copying pythondata_cpu_microwatt/vhdl/tests/778.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,605 root INFO copying pythondata_cpu_microwatt/vhdl/tests/779.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,606 root INFO copying pythondata_cpu_microwatt/vhdl/tests/779.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,606 root INFO copying pythondata_cpu_microwatt/vhdl/tests/78.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,606 root INFO copying pythondata_cpu_microwatt/vhdl/tests/78.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,607 root INFO copying pythondata_cpu_microwatt/vhdl/tests/780.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,607 root INFO copying pythondata_cpu_microwatt/vhdl/tests/780.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,607 root INFO copying pythondata_cpu_microwatt/vhdl/tests/781.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,608 root INFO copying pythondata_cpu_microwatt/vhdl/tests/781.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,608 root INFO copying pythondata_cpu_microwatt/vhdl/tests/782.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,608 root INFO copying pythondata_cpu_microwatt/vhdl/tests/782.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,608 root INFO copying pythondata_cpu_microwatt/vhdl/tests/783.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,609 root INFO copying pythondata_cpu_microwatt/vhdl/tests/783.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,609 root INFO copying pythondata_cpu_microwatt/vhdl/tests/784.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,610 root INFO copying pythondata_cpu_microwatt/vhdl/tests/784.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,610 root INFO copying pythondata_cpu_microwatt/vhdl/tests/785.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,610 root INFO copying pythondata_cpu_microwatt/vhdl/tests/785.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,610 root INFO copying pythondata_cpu_microwatt/vhdl/tests/786.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,611 root INFO copying pythondata_cpu_microwatt/vhdl/tests/786.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,611 root INFO copying pythondata_cpu_microwatt/vhdl/tests/787.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,611 root INFO copying pythondata_cpu_microwatt/vhdl/tests/787.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,612 root INFO copying pythondata_cpu_microwatt/vhdl/tests/788.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,612 root INFO copying pythondata_cpu_microwatt/vhdl/tests/788.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,612 root INFO copying pythondata_cpu_microwatt/vhdl/tests/789.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,613 root INFO copying pythondata_cpu_microwatt/vhdl/tests/789.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,613 root INFO copying pythondata_cpu_microwatt/vhdl/tests/79.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,613 root INFO copying pythondata_cpu_microwatt/vhdl/tests/79.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,614 root INFO copying pythondata_cpu_microwatt/vhdl/tests/790.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,614 root INFO copying pythondata_cpu_microwatt/vhdl/tests/790.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,614 root INFO copying pythondata_cpu_microwatt/vhdl/tests/791.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,615 root INFO copying pythondata_cpu_microwatt/vhdl/tests/791.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,615 root INFO copying pythondata_cpu_microwatt/vhdl/tests/792.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,615 root INFO copying pythondata_cpu_microwatt/vhdl/tests/792.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,616 root INFO copying pythondata_cpu_microwatt/vhdl/tests/793.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,616 root INFO copying pythondata_cpu_microwatt/vhdl/tests/793.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,616 root INFO copying pythondata_cpu_microwatt/vhdl/tests/794.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,616 root INFO copying pythondata_cpu_microwatt/vhdl/tests/794.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,617 root INFO copying pythondata_cpu_microwatt/vhdl/tests/795.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,617 root INFO copying pythondata_cpu_microwatt/vhdl/tests/795.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,617 root INFO copying pythondata_cpu_microwatt/vhdl/tests/796.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,618 root INFO copying pythondata_cpu_microwatt/vhdl/tests/796.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,618 root INFO copying pythondata_cpu_microwatt/vhdl/tests/797.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,619 root INFO copying pythondata_cpu_microwatt/vhdl/tests/797.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,619 root INFO copying pythondata_cpu_microwatt/vhdl/tests/798.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,619 root INFO copying pythondata_cpu_microwatt/vhdl/tests/798.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,619 root INFO copying pythondata_cpu_microwatt/vhdl/tests/799.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,620 root INFO copying pythondata_cpu_microwatt/vhdl/tests/799.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,620 root INFO copying pythondata_cpu_microwatt/vhdl/tests/8.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,620 root INFO copying pythondata_cpu_microwatt/vhdl/tests/8.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,621 root INFO copying pythondata_cpu_microwatt/vhdl/tests/80.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,621 root INFO copying pythondata_cpu_microwatt/vhdl/tests/80.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,621 root INFO copying pythondata_cpu_microwatt/vhdl/tests/800.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,622 root INFO copying pythondata_cpu_microwatt/vhdl/tests/800.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,622 root INFO copying pythondata_cpu_microwatt/vhdl/tests/801.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,622 root INFO copying pythondata_cpu_microwatt/vhdl/tests/801.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,622 root INFO copying pythondata_cpu_microwatt/vhdl/tests/802.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,623 root INFO copying pythondata_cpu_microwatt/vhdl/tests/802.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,623 root INFO copying pythondata_cpu_microwatt/vhdl/tests/803.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,624 root INFO copying pythondata_cpu_microwatt/vhdl/tests/803.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,624 root INFO copying pythondata_cpu_microwatt/vhdl/tests/804.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,624 root INFO copying pythondata_cpu_microwatt/vhdl/tests/804.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,624 root INFO copying pythondata_cpu_microwatt/vhdl/tests/805.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,625 root INFO copying pythondata_cpu_microwatt/vhdl/tests/805.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,625 root INFO copying pythondata_cpu_microwatt/vhdl/tests/806.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,625 root INFO copying pythondata_cpu_microwatt/vhdl/tests/806.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,626 root INFO copying pythondata_cpu_microwatt/vhdl/tests/807.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,626 root INFO copying pythondata_cpu_microwatt/vhdl/tests/807.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,626 root INFO copying pythondata_cpu_microwatt/vhdl/tests/808.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,627 root INFO copying pythondata_cpu_microwatt/vhdl/tests/808.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,627 root INFO copying pythondata_cpu_microwatt/vhdl/tests/809.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,627 root INFO copying pythondata_cpu_microwatt/vhdl/tests/809.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,628 root INFO copying pythondata_cpu_microwatt/vhdl/tests/81.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,628 root INFO copying pythondata_cpu_microwatt/vhdl/tests/81.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,628 root INFO copying pythondata_cpu_microwatt/vhdl/tests/810.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,629 root INFO copying pythondata_cpu_microwatt/vhdl/tests/810.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,629 root INFO copying pythondata_cpu_microwatt/vhdl/tests/811.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,629 root INFO copying pythondata_cpu_microwatt/vhdl/tests/811.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,629 root INFO copying pythondata_cpu_microwatt/vhdl/tests/812.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,630 root INFO copying pythondata_cpu_microwatt/vhdl/tests/812.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,630 root INFO copying pythondata_cpu_microwatt/vhdl/tests/813.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,630 root INFO copying pythondata_cpu_microwatt/vhdl/tests/813.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,631 root INFO copying pythondata_cpu_microwatt/vhdl/tests/814.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,631 root INFO copying pythondata_cpu_microwatt/vhdl/tests/814.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,631 root INFO copying pythondata_cpu_microwatt/vhdl/tests/815.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,632 root INFO copying pythondata_cpu_microwatt/vhdl/tests/815.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,632 root INFO copying pythondata_cpu_microwatt/vhdl/tests/816.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,632 root INFO copying pythondata_cpu_microwatt/vhdl/tests/816.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,633 root INFO copying pythondata_cpu_microwatt/vhdl/tests/817.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,633 root INFO copying pythondata_cpu_microwatt/vhdl/tests/817.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,633 root INFO copying pythondata_cpu_microwatt/vhdl/tests/818.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,634 root INFO copying pythondata_cpu_microwatt/vhdl/tests/818.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,634 root INFO copying pythondata_cpu_microwatt/vhdl/tests/819.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,634 root INFO copying pythondata_cpu_microwatt/vhdl/tests/819.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,634 root INFO copying pythondata_cpu_microwatt/vhdl/tests/82.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,635 root INFO copying pythondata_cpu_microwatt/vhdl/tests/82.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,635 root INFO copying pythondata_cpu_microwatt/vhdl/tests/820.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,636 root INFO copying pythondata_cpu_microwatt/vhdl/tests/820.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,636 root INFO copying pythondata_cpu_microwatt/vhdl/tests/821.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,636 root INFO copying pythondata_cpu_microwatt/vhdl/tests/821.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,637 root INFO copying pythondata_cpu_microwatt/vhdl/tests/822.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,637 root INFO copying pythondata_cpu_microwatt/vhdl/tests/822.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,637 root INFO copying pythondata_cpu_microwatt/vhdl/tests/823.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,638 root INFO copying pythondata_cpu_microwatt/vhdl/tests/823.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,638 root INFO copying pythondata_cpu_microwatt/vhdl/tests/824.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,638 root INFO copying pythondata_cpu_microwatt/vhdl/tests/824.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,638 root INFO copying pythondata_cpu_microwatt/vhdl/tests/825.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,639 root INFO copying pythondata_cpu_microwatt/vhdl/tests/825.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,639 root INFO copying pythondata_cpu_microwatt/vhdl/tests/826.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,639 root INFO copying pythondata_cpu_microwatt/vhdl/tests/826.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,640 root INFO copying pythondata_cpu_microwatt/vhdl/tests/827.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,640 root INFO copying pythondata_cpu_microwatt/vhdl/tests/827.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,640 root INFO copying pythondata_cpu_microwatt/vhdl/tests/828.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,641 root INFO copying pythondata_cpu_microwatt/vhdl/tests/828.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,641 root INFO copying pythondata_cpu_microwatt/vhdl/tests/829.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,641 root INFO copying pythondata_cpu_microwatt/vhdl/tests/829.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,642 root INFO copying pythondata_cpu_microwatt/vhdl/tests/83.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,642 root INFO copying pythondata_cpu_microwatt/vhdl/tests/83.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,642 root INFO copying pythondata_cpu_microwatt/vhdl/tests/830.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,643 root INFO copying pythondata_cpu_microwatt/vhdl/tests/830.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,643 root INFO copying pythondata_cpu_microwatt/vhdl/tests/831.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,643 root INFO copying pythondata_cpu_microwatt/vhdl/tests/831.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,643 root INFO copying pythondata_cpu_microwatt/vhdl/tests/832.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,644 root INFO copying pythondata_cpu_microwatt/vhdl/tests/832.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,644 root INFO copying pythondata_cpu_microwatt/vhdl/tests/833.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,644 root INFO copying pythondata_cpu_microwatt/vhdl/tests/833.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,645 root INFO copying pythondata_cpu_microwatt/vhdl/tests/834.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,645 root INFO copying pythondata_cpu_microwatt/vhdl/tests/834.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,645 root INFO copying pythondata_cpu_microwatt/vhdl/tests/835.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,646 root INFO copying pythondata_cpu_microwatt/vhdl/tests/835.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,646 root INFO copying pythondata_cpu_microwatt/vhdl/tests/836.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,646 root INFO copying pythondata_cpu_microwatt/vhdl/tests/836.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,647 root INFO copying pythondata_cpu_microwatt/vhdl/tests/837.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,647 root INFO copying pythondata_cpu_microwatt/vhdl/tests/837.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,647 root INFO copying pythondata_cpu_microwatt/vhdl/tests/838.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,648 root INFO copying pythondata_cpu_microwatt/vhdl/tests/838.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,648 root INFO copying pythondata_cpu_microwatt/vhdl/tests/839.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,648 root INFO copying pythondata_cpu_microwatt/vhdl/tests/839.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,648 root INFO copying pythondata_cpu_microwatt/vhdl/tests/84.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,649 root INFO copying pythondata_cpu_microwatt/vhdl/tests/84.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,649 root INFO copying pythondata_cpu_microwatt/vhdl/tests/840.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,650 root INFO copying pythondata_cpu_microwatt/vhdl/tests/840.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,650 root INFO copying pythondata_cpu_microwatt/vhdl/tests/841.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,650 root INFO copying pythondata_cpu_microwatt/vhdl/tests/841.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,650 root INFO copying pythondata_cpu_microwatt/vhdl/tests/842.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,651 root INFO copying pythondata_cpu_microwatt/vhdl/tests/842.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,651 root INFO copying pythondata_cpu_microwatt/vhdl/tests/843.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,651 root INFO copying pythondata_cpu_microwatt/vhdl/tests/843.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,652 root INFO copying pythondata_cpu_microwatt/vhdl/tests/844.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,652 root INFO copying pythondata_cpu_microwatt/vhdl/tests/844.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,652 root INFO copying pythondata_cpu_microwatt/vhdl/tests/845.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,653 root INFO copying pythondata_cpu_microwatt/vhdl/tests/845.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,653 root INFO copying pythondata_cpu_microwatt/vhdl/tests/846.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,653 root INFO copying pythondata_cpu_microwatt/vhdl/tests/846.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,653 root INFO copying pythondata_cpu_microwatt/vhdl/tests/847.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,654 root INFO copying pythondata_cpu_microwatt/vhdl/tests/847.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,654 root INFO copying pythondata_cpu_microwatt/vhdl/tests/848.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,655 root INFO copying pythondata_cpu_microwatt/vhdl/tests/848.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,655 root INFO copying pythondata_cpu_microwatt/vhdl/tests/849.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,655 root INFO copying pythondata_cpu_microwatt/vhdl/tests/849.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,655 root INFO copying pythondata_cpu_microwatt/vhdl/tests/85.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,656 root INFO copying pythondata_cpu_microwatt/vhdl/tests/85.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,656 root INFO copying pythondata_cpu_microwatt/vhdl/tests/850.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,656 root INFO copying pythondata_cpu_microwatt/vhdl/tests/850.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,657 root INFO copying pythondata_cpu_microwatt/vhdl/tests/851.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,657 root INFO copying pythondata_cpu_microwatt/vhdl/tests/851.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,657 root INFO copying pythondata_cpu_microwatt/vhdl/tests/852.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,658 root INFO copying pythondata_cpu_microwatt/vhdl/tests/852.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,658 root INFO copying pythondata_cpu_microwatt/vhdl/tests/853.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,658 root INFO copying pythondata_cpu_microwatt/vhdl/tests/853.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,659 root INFO copying pythondata_cpu_microwatt/vhdl/tests/854.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,659 root INFO copying pythondata_cpu_microwatt/vhdl/tests/854.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,659 root INFO copying pythondata_cpu_microwatt/vhdl/tests/855.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,660 root INFO copying pythondata_cpu_microwatt/vhdl/tests/855.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,660 root INFO copying pythondata_cpu_microwatt/vhdl/tests/856.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,660 root INFO copying pythondata_cpu_microwatt/vhdl/tests/856.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,661 root INFO copying pythondata_cpu_microwatt/vhdl/tests/857.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,661 root INFO copying pythondata_cpu_microwatt/vhdl/tests/857.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,661 root INFO copying pythondata_cpu_microwatt/vhdl/tests/858.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,662 root INFO copying pythondata_cpu_microwatt/vhdl/tests/858.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,662 root INFO copying pythondata_cpu_microwatt/vhdl/tests/859.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,662 root INFO copying pythondata_cpu_microwatt/vhdl/tests/859.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,662 root INFO copying pythondata_cpu_microwatt/vhdl/tests/86.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,663 root INFO copying pythondata_cpu_microwatt/vhdl/tests/86.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,663 root INFO copying pythondata_cpu_microwatt/vhdl/tests/860.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,664 root INFO copying pythondata_cpu_microwatt/vhdl/tests/860.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,664 root INFO copying pythondata_cpu_microwatt/vhdl/tests/861.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,664 root INFO copying pythondata_cpu_microwatt/vhdl/tests/861.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,664 root INFO copying pythondata_cpu_microwatt/vhdl/tests/862.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,665 root INFO copying pythondata_cpu_microwatt/vhdl/tests/862.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,665 root INFO copying pythondata_cpu_microwatt/vhdl/tests/863.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,665 root INFO copying pythondata_cpu_microwatt/vhdl/tests/863.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,666 root INFO copying pythondata_cpu_microwatt/vhdl/tests/864.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,666 root INFO copying pythondata_cpu_microwatt/vhdl/tests/864.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,666 root INFO copying pythondata_cpu_microwatt/vhdl/tests/865.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,667 root INFO copying pythondata_cpu_microwatt/vhdl/tests/865.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,667 root INFO copying pythondata_cpu_microwatt/vhdl/tests/866.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,667 root INFO copying pythondata_cpu_microwatt/vhdl/tests/866.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,668 root INFO copying pythondata_cpu_microwatt/vhdl/tests/867.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,668 root INFO copying pythondata_cpu_microwatt/vhdl/tests/867.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,668 root INFO copying pythondata_cpu_microwatt/vhdl/tests/868.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,669 root INFO copying pythondata_cpu_microwatt/vhdl/tests/868.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,669 root INFO copying pythondata_cpu_microwatt/vhdl/tests/869.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,669 root INFO copying pythondata_cpu_microwatt/vhdl/tests/869.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,669 root INFO copying pythondata_cpu_microwatt/vhdl/tests/87.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,670 root INFO copying pythondata_cpu_microwatt/vhdl/tests/87.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,670 root INFO copying pythondata_cpu_microwatt/vhdl/tests/870.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,670 root INFO copying pythondata_cpu_microwatt/vhdl/tests/870.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,671 root INFO copying pythondata_cpu_microwatt/vhdl/tests/871.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,671 root INFO copying pythondata_cpu_microwatt/vhdl/tests/871.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,671 root INFO copying pythondata_cpu_microwatt/vhdl/tests/872.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,672 root INFO copying pythondata_cpu_microwatt/vhdl/tests/872.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,672 root INFO copying pythondata_cpu_microwatt/vhdl/tests/873.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,672 root INFO copying pythondata_cpu_microwatt/vhdl/tests/873.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,673 root INFO copying pythondata_cpu_microwatt/vhdl/tests/874.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,673 root INFO copying pythondata_cpu_microwatt/vhdl/tests/874.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,673 root INFO copying pythondata_cpu_microwatt/vhdl/tests/875.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,674 root INFO copying pythondata_cpu_microwatt/vhdl/tests/875.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,674 root INFO copying pythondata_cpu_microwatt/vhdl/tests/876.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,674 root INFO copying pythondata_cpu_microwatt/vhdl/tests/876.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,675 root INFO copying pythondata_cpu_microwatt/vhdl/tests/877.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,675 root INFO copying pythondata_cpu_microwatt/vhdl/tests/877.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,675 root INFO copying pythondata_cpu_microwatt/vhdl/tests/878.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,676 root INFO copying pythondata_cpu_microwatt/vhdl/tests/878.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,676 root INFO copying pythondata_cpu_microwatt/vhdl/tests/879.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,676 root INFO copying pythondata_cpu_microwatt/vhdl/tests/879.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,676 root INFO copying pythondata_cpu_microwatt/vhdl/tests/88.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,677 root INFO copying pythondata_cpu_microwatt/vhdl/tests/88.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,677 root INFO copying pythondata_cpu_microwatt/vhdl/tests/880.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,677 root INFO copying pythondata_cpu_microwatt/vhdl/tests/880.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,678 root INFO copying pythondata_cpu_microwatt/vhdl/tests/881.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,678 root INFO copying pythondata_cpu_microwatt/vhdl/tests/881.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,678 root INFO copying pythondata_cpu_microwatt/vhdl/tests/882.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,679 root INFO copying pythondata_cpu_microwatt/vhdl/tests/882.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,679 root INFO copying pythondata_cpu_microwatt/vhdl/tests/883.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,679 root INFO copying pythondata_cpu_microwatt/vhdl/tests/883.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,680 root INFO copying pythondata_cpu_microwatt/vhdl/tests/884.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,680 root INFO copying pythondata_cpu_microwatt/vhdl/tests/884.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,680 root INFO copying pythondata_cpu_microwatt/vhdl/tests/885.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,681 root INFO copying pythondata_cpu_microwatt/vhdl/tests/885.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,681 root INFO copying pythondata_cpu_microwatt/vhdl/tests/886.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,681 root INFO copying pythondata_cpu_microwatt/vhdl/tests/886.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,682 root INFO copying pythondata_cpu_microwatt/vhdl/tests/887.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,682 root INFO copying pythondata_cpu_microwatt/vhdl/tests/887.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,682 root INFO copying pythondata_cpu_microwatt/vhdl/tests/888.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,683 root INFO copying pythondata_cpu_microwatt/vhdl/tests/888.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,683 root INFO copying pythondata_cpu_microwatt/vhdl/tests/889.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,683 root INFO copying pythondata_cpu_microwatt/vhdl/tests/889.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,683 root INFO copying pythondata_cpu_microwatt/vhdl/tests/89.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,684 root INFO copying pythondata_cpu_microwatt/vhdl/tests/89.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,684 root INFO copying pythondata_cpu_microwatt/vhdl/tests/890.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,684 root INFO copying pythondata_cpu_microwatt/vhdl/tests/890.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,685 root INFO copying pythondata_cpu_microwatt/vhdl/tests/891.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,685 root INFO copying pythondata_cpu_microwatt/vhdl/tests/891.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,685 root INFO copying pythondata_cpu_microwatt/vhdl/tests/892.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,686 root INFO copying pythondata_cpu_microwatt/vhdl/tests/892.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,686 root INFO copying pythondata_cpu_microwatt/vhdl/tests/893.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,686 root INFO copying pythondata_cpu_microwatt/vhdl/tests/893.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,687 root INFO copying pythondata_cpu_microwatt/vhdl/tests/894.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,687 root INFO copying pythondata_cpu_microwatt/vhdl/tests/894.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,687 root INFO copying pythondata_cpu_microwatt/vhdl/tests/895.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,688 root INFO copying pythondata_cpu_microwatt/vhdl/tests/895.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,688 root INFO copying pythondata_cpu_microwatt/vhdl/tests/896.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,688 root INFO copying pythondata_cpu_microwatt/vhdl/tests/896.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,688 root INFO copying pythondata_cpu_microwatt/vhdl/tests/897.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,689 root INFO copying pythondata_cpu_microwatt/vhdl/tests/897.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,689 root INFO copying pythondata_cpu_microwatt/vhdl/tests/898.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,690 root INFO copying pythondata_cpu_microwatt/vhdl/tests/898.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,690 root INFO copying pythondata_cpu_microwatt/vhdl/tests/899.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,690 root INFO copying pythondata_cpu_microwatt/vhdl/tests/899.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,690 root INFO copying pythondata_cpu_microwatt/vhdl/tests/9.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,691 root INFO copying pythondata_cpu_microwatt/vhdl/tests/9.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,691 root INFO copying pythondata_cpu_microwatt/vhdl/tests/90.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,691 root INFO copying pythondata_cpu_microwatt/vhdl/tests/90.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,692 root INFO copying pythondata_cpu_microwatt/vhdl/tests/900.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,692 root INFO copying pythondata_cpu_microwatt/vhdl/tests/900.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,692 root INFO copying pythondata_cpu_microwatt/vhdl/tests/901.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,693 root INFO copying pythondata_cpu_microwatt/vhdl/tests/901.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,693 root INFO copying pythondata_cpu_microwatt/vhdl/tests/902.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,693 root INFO copying pythondata_cpu_microwatt/vhdl/tests/902.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,693 root INFO copying pythondata_cpu_microwatt/vhdl/tests/903.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,694 root INFO copying pythondata_cpu_microwatt/vhdl/tests/903.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,694 root INFO copying pythondata_cpu_microwatt/vhdl/tests/904.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,695 root INFO copying pythondata_cpu_microwatt/vhdl/tests/904.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,695 root INFO copying pythondata_cpu_microwatt/vhdl/tests/905.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,695 root INFO copying pythondata_cpu_microwatt/vhdl/tests/905.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,695 root INFO copying pythondata_cpu_microwatt/vhdl/tests/906.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,696 root INFO copying pythondata_cpu_microwatt/vhdl/tests/906.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,696 root INFO copying pythondata_cpu_microwatt/vhdl/tests/907.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,696 root INFO copying pythondata_cpu_microwatt/vhdl/tests/907.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,697 root INFO copying pythondata_cpu_microwatt/vhdl/tests/908.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,697 root INFO copying pythondata_cpu_microwatt/vhdl/tests/908.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,697 root INFO copying pythondata_cpu_microwatt/vhdl/tests/909.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,698 root INFO copying pythondata_cpu_microwatt/vhdl/tests/909.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,698 root INFO copying pythondata_cpu_microwatt/vhdl/tests/91.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,698 root INFO copying pythondata_cpu_microwatt/vhdl/tests/91.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,699 root INFO copying pythondata_cpu_microwatt/vhdl/tests/910.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,699 root INFO copying pythondata_cpu_microwatt/vhdl/tests/910.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,699 root INFO copying pythondata_cpu_microwatt/vhdl/tests/911.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,700 root INFO copying pythondata_cpu_microwatt/vhdl/tests/911.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,700 root INFO copying pythondata_cpu_microwatt/vhdl/tests/912.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,700 root INFO copying pythondata_cpu_microwatt/vhdl/tests/912.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,700 root INFO copying pythondata_cpu_microwatt/vhdl/tests/913.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,701 root INFO copying pythondata_cpu_microwatt/vhdl/tests/913.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,701 root INFO copying pythondata_cpu_microwatt/vhdl/tests/914.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,701 root INFO copying pythondata_cpu_microwatt/vhdl/tests/914.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,702 root INFO copying pythondata_cpu_microwatt/vhdl/tests/915.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,702 root INFO copying pythondata_cpu_microwatt/vhdl/tests/915.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,702 root INFO copying pythondata_cpu_microwatt/vhdl/tests/916.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,703 root INFO copying pythondata_cpu_microwatt/vhdl/tests/916.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,703 root INFO copying pythondata_cpu_microwatt/vhdl/tests/917.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,703 root INFO copying pythondata_cpu_microwatt/vhdl/tests/917.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,704 root INFO copying pythondata_cpu_microwatt/vhdl/tests/918.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,704 root INFO copying pythondata_cpu_microwatt/vhdl/tests/918.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,704 root INFO copying pythondata_cpu_microwatt/vhdl/tests/919.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,705 root INFO copying pythondata_cpu_microwatt/vhdl/tests/919.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,705 root INFO copying pythondata_cpu_microwatt/vhdl/tests/92.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,705 root INFO copying pythondata_cpu_microwatt/vhdl/tests/92.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,705 root INFO copying pythondata_cpu_microwatt/vhdl/tests/920.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,706 root INFO copying pythondata_cpu_microwatt/vhdl/tests/920.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,706 root INFO copying pythondata_cpu_microwatt/vhdl/tests/921.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,706 root INFO copying pythondata_cpu_microwatt/vhdl/tests/921.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,707 root INFO copying pythondata_cpu_microwatt/vhdl/tests/922.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,707 root INFO copying pythondata_cpu_microwatt/vhdl/tests/922.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,707 root INFO copying pythondata_cpu_microwatt/vhdl/tests/923.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,708 root INFO copying pythondata_cpu_microwatt/vhdl/tests/923.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,708 root INFO copying pythondata_cpu_microwatt/vhdl/tests/924.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,708 root INFO copying pythondata_cpu_microwatt/vhdl/tests/924.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,709 root INFO copying pythondata_cpu_microwatt/vhdl/tests/925.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,709 root INFO copying pythondata_cpu_microwatt/vhdl/tests/925.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,709 root INFO copying pythondata_cpu_microwatt/vhdl/tests/926.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,710 root INFO copying pythondata_cpu_microwatt/vhdl/tests/926.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,710 root INFO copying pythondata_cpu_microwatt/vhdl/tests/927.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,710 root INFO copying pythondata_cpu_microwatt/vhdl/tests/927.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,711 root INFO copying pythondata_cpu_microwatt/vhdl/tests/928.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,711 root INFO copying pythondata_cpu_microwatt/vhdl/tests/928.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,711 root INFO copying pythondata_cpu_microwatt/vhdl/tests/929.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,712 root INFO copying pythondata_cpu_microwatt/vhdl/tests/929.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,712 root INFO copying pythondata_cpu_microwatt/vhdl/tests/93.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,712 root INFO copying pythondata_cpu_microwatt/vhdl/tests/93.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,712 root INFO copying pythondata_cpu_microwatt/vhdl/tests/930.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,713 root INFO copying pythondata_cpu_microwatt/vhdl/tests/930.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,713 root INFO copying pythondata_cpu_microwatt/vhdl/tests/931.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,714 root INFO copying pythondata_cpu_microwatt/vhdl/tests/931.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,714 root INFO copying pythondata_cpu_microwatt/vhdl/tests/932.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,714 root INFO copying pythondata_cpu_microwatt/vhdl/tests/932.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,714 root INFO copying pythondata_cpu_microwatt/vhdl/tests/933.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,715 root INFO copying pythondata_cpu_microwatt/vhdl/tests/933.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,715 root INFO copying pythondata_cpu_microwatt/vhdl/tests/934.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,715 root INFO copying pythondata_cpu_microwatt/vhdl/tests/934.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,716 root INFO copying pythondata_cpu_microwatt/vhdl/tests/935.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,716 root INFO copying pythondata_cpu_microwatt/vhdl/tests/935.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,716 root INFO copying pythondata_cpu_microwatt/vhdl/tests/936.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,717 root INFO copying pythondata_cpu_microwatt/vhdl/tests/936.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,717 root INFO copying pythondata_cpu_microwatt/vhdl/tests/937.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,717 root INFO copying pythondata_cpu_microwatt/vhdl/tests/937.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,718 root INFO copying pythondata_cpu_microwatt/vhdl/tests/938.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,718 root INFO copying pythondata_cpu_microwatt/vhdl/tests/938.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,718 root INFO copying pythondata_cpu_microwatt/vhdl/tests/939.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,719 root INFO copying pythondata_cpu_microwatt/vhdl/tests/939.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,719 root INFO copying pythondata_cpu_microwatt/vhdl/tests/94.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,719 root INFO copying pythondata_cpu_microwatt/vhdl/tests/94.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,719 root INFO copying pythondata_cpu_microwatt/vhdl/tests/940.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,720 root INFO copying pythondata_cpu_microwatt/vhdl/tests/940.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,720 root INFO copying pythondata_cpu_microwatt/vhdl/tests/941.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,721 root INFO copying pythondata_cpu_microwatt/vhdl/tests/941.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,721 root INFO copying pythondata_cpu_microwatt/vhdl/tests/942.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,721 root INFO copying pythondata_cpu_microwatt/vhdl/tests/942.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,721 root INFO copying pythondata_cpu_microwatt/vhdl/tests/943.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,722 root INFO copying pythondata_cpu_microwatt/vhdl/tests/943.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,722 root INFO copying pythondata_cpu_microwatt/vhdl/tests/944.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,722 root INFO copying pythondata_cpu_microwatt/vhdl/tests/944.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,723 root INFO copying pythondata_cpu_microwatt/vhdl/tests/945.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,723 root INFO copying pythondata_cpu_microwatt/vhdl/tests/945.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,724 root INFO copying pythondata_cpu_microwatt/vhdl/tests/946.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,724 root INFO copying pythondata_cpu_microwatt/vhdl/tests/946.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,724 root INFO copying pythondata_cpu_microwatt/vhdl/tests/947.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,725 root INFO copying pythondata_cpu_microwatt/vhdl/tests/947.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,725 root INFO copying pythondata_cpu_microwatt/vhdl/tests/948.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,725 root INFO copying pythondata_cpu_microwatt/vhdl/tests/948.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,726 root INFO copying pythondata_cpu_microwatt/vhdl/tests/949.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,726 root INFO copying pythondata_cpu_microwatt/vhdl/tests/949.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,726 root INFO copying pythondata_cpu_microwatt/vhdl/tests/95.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,727 root INFO copying pythondata_cpu_microwatt/vhdl/tests/95.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,727 root INFO copying pythondata_cpu_microwatt/vhdl/tests/950.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,727 root INFO copying pythondata_cpu_microwatt/vhdl/tests/950.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,728 root INFO copying pythondata_cpu_microwatt/vhdl/tests/951.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,728 root INFO copying pythondata_cpu_microwatt/vhdl/tests/951.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,728 root INFO copying pythondata_cpu_microwatt/vhdl/tests/952.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,729 root INFO copying pythondata_cpu_microwatt/vhdl/tests/952.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,729 root INFO copying pythondata_cpu_microwatt/vhdl/tests/953.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,729 root INFO copying pythondata_cpu_microwatt/vhdl/tests/953.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,729 root INFO copying pythondata_cpu_microwatt/vhdl/tests/954.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,730 root INFO copying pythondata_cpu_microwatt/vhdl/tests/954.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,730 root INFO copying pythondata_cpu_microwatt/vhdl/tests/955.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,731 root INFO copying pythondata_cpu_microwatt/vhdl/tests/955.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,731 root INFO copying pythondata_cpu_microwatt/vhdl/tests/956.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,731 root INFO copying pythondata_cpu_microwatt/vhdl/tests/956.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,731 root INFO copying pythondata_cpu_microwatt/vhdl/tests/957.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,732 root INFO copying pythondata_cpu_microwatt/vhdl/tests/957.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,732 root INFO copying pythondata_cpu_microwatt/vhdl/tests/958.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,732 root INFO copying pythondata_cpu_microwatt/vhdl/tests/958.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,733 root INFO copying pythondata_cpu_microwatt/vhdl/tests/959.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,733 root INFO copying pythondata_cpu_microwatt/vhdl/tests/959.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,733 root INFO copying pythondata_cpu_microwatt/vhdl/tests/96.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,734 root INFO copying pythondata_cpu_microwatt/vhdl/tests/96.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,734 root INFO copying pythondata_cpu_microwatt/vhdl/tests/960.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,734 root INFO copying pythondata_cpu_microwatt/vhdl/tests/960.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,735 root INFO copying pythondata_cpu_microwatt/vhdl/tests/961.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,735 root INFO copying pythondata_cpu_microwatt/vhdl/tests/961.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,735 root INFO copying pythondata_cpu_microwatt/vhdl/tests/962.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,736 root INFO copying pythondata_cpu_microwatt/vhdl/tests/962.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,736 root INFO copying pythondata_cpu_microwatt/vhdl/tests/963.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,736 root INFO copying pythondata_cpu_microwatt/vhdl/tests/963.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,736 root INFO copying pythondata_cpu_microwatt/vhdl/tests/964.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,737 root INFO copying pythondata_cpu_microwatt/vhdl/tests/964.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,737 root INFO copying pythondata_cpu_microwatt/vhdl/tests/965.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,737 root INFO copying pythondata_cpu_microwatt/vhdl/tests/965.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,738 root INFO copying pythondata_cpu_microwatt/vhdl/tests/966.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,738 root INFO copying pythondata_cpu_microwatt/vhdl/tests/966.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,738 root INFO copying pythondata_cpu_microwatt/vhdl/tests/967.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,739 root INFO copying pythondata_cpu_microwatt/vhdl/tests/967.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,739 root INFO copying pythondata_cpu_microwatt/vhdl/tests/968.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,739 root INFO copying pythondata_cpu_microwatt/vhdl/tests/968.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,740 root INFO copying pythondata_cpu_microwatt/vhdl/tests/969.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,740 root INFO copying pythondata_cpu_microwatt/vhdl/tests/969.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,740 root INFO copying pythondata_cpu_microwatt/vhdl/tests/97.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,741 root INFO copying pythondata_cpu_microwatt/vhdl/tests/97.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,741 root INFO copying pythondata_cpu_microwatt/vhdl/tests/970.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,741 root INFO copying pythondata_cpu_microwatt/vhdl/tests/970.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,741 root INFO copying pythondata_cpu_microwatt/vhdl/tests/971.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,742 root INFO copying pythondata_cpu_microwatt/vhdl/tests/971.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,742 root INFO copying pythondata_cpu_microwatt/vhdl/tests/972.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,742 root INFO copying pythondata_cpu_microwatt/vhdl/tests/972.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,743 root INFO copying pythondata_cpu_microwatt/vhdl/tests/973.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,743 root INFO copying pythondata_cpu_microwatt/vhdl/tests/973.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,743 root INFO copying pythondata_cpu_microwatt/vhdl/tests/974.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,744 root INFO copying pythondata_cpu_microwatt/vhdl/tests/974.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,744 root INFO copying pythondata_cpu_microwatt/vhdl/tests/975.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,744 root INFO copying pythondata_cpu_microwatt/vhdl/tests/975.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,745 root INFO copying pythondata_cpu_microwatt/vhdl/tests/976.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,745 root INFO copying pythondata_cpu_microwatt/vhdl/tests/976.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,745 root INFO copying pythondata_cpu_microwatt/vhdl/tests/977.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,746 root INFO copying pythondata_cpu_microwatt/vhdl/tests/977.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,746 root INFO copying pythondata_cpu_microwatt/vhdl/tests/978.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,746 root INFO copying pythondata_cpu_microwatt/vhdl/tests/978.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,746 root INFO copying pythondata_cpu_microwatt/vhdl/tests/979.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,747 root INFO copying pythondata_cpu_microwatt/vhdl/tests/979.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,747 root INFO copying pythondata_cpu_microwatt/vhdl/tests/98.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,748 root INFO copying pythondata_cpu_microwatt/vhdl/tests/98.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,748 root INFO copying pythondata_cpu_microwatt/vhdl/tests/980.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,748 root INFO copying pythondata_cpu_microwatt/vhdl/tests/980.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,748 root INFO copying pythondata_cpu_microwatt/vhdl/tests/981.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,749 root INFO copying pythondata_cpu_microwatt/vhdl/tests/981.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,749 root INFO copying pythondata_cpu_microwatt/vhdl/tests/982.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,749 root INFO copying pythondata_cpu_microwatt/vhdl/tests/982.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,750 root INFO copying pythondata_cpu_microwatt/vhdl/tests/983.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,750 root INFO copying pythondata_cpu_microwatt/vhdl/tests/983.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,750 root INFO copying pythondata_cpu_microwatt/vhdl/tests/984.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,751 root INFO copying pythondata_cpu_microwatt/vhdl/tests/984.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,751 root INFO copying pythondata_cpu_microwatt/vhdl/tests/985.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,751 root INFO copying pythondata_cpu_microwatt/vhdl/tests/985.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,752 root INFO copying pythondata_cpu_microwatt/vhdl/tests/986.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,752 root INFO copying pythondata_cpu_microwatt/vhdl/tests/986.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,752 root INFO copying pythondata_cpu_microwatt/vhdl/tests/987.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,753 root INFO copying pythondata_cpu_microwatt/vhdl/tests/987.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,753 root INFO copying pythondata_cpu_microwatt/vhdl/tests/988.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,753 root INFO copying pythondata_cpu_microwatt/vhdl/tests/988.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,754 root INFO copying pythondata_cpu_microwatt/vhdl/tests/989.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,754 root INFO copying pythondata_cpu_microwatt/vhdl/tests/989.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,754 root INFO copying pythondata_cpu_microwatt/vhdl/tests/99.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,755 root INFO copying pythondata_cpu_microwatt/vhdl/tests/99.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,755 root INFO copying pythondata_cpu_microwatt/vhdl/tests/990.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,755 root INFO copying pythondata_cpu_microwatt/vhdl/tests/990.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,755 root INFO copying pythondata_cpu_microwatt/vhdl/tests/991.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,756 root INFO copying pythondata_cpu_microwatt/vhdl/tests/991.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,756 root INFO copying pythondata_cpu_microwatt/vhdl/tests/992.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,756 root INFO copying pythondata_cpu_microwatt/vhdl/tests/992.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,757 root INFO copying pythondata_cpu_microwatt/vhdl/tests/993.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,757 root INFO copying pythondata_cpu_microwatt/vhdl/tests/993.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,757 root INFO copying pythondata_cpu_microwatt/vhdl/tests/994.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,758 root INFO copying pythondata_cpu_microwatt/vhdl/tests/994.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,758 root INFO copying pythondata_cpu_microwatt/vhdl/tests/995.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,758 root INFO copying pythondata_cpu_microwatt/vhdl/tests/995.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,759 root INFO copying pythondata_cpu_microwatt/vhdl/tests/996.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,759 root INFO copying pythondata_cpu_microwatt/vhdl/tests/996.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,759 root INFO copying pythondata_cpu_microwatt/vhdl/tests/997.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,760 root INFO copying pythondata_cpu_microwatt/vhdl/tests/997.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,760 root INFO copying pythondata_cpu_microwatt/vhdl/tests/998.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,760 root INFO copying pythondata_cpu_microwatt/vhdl/tests/998.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,761 root INFO copying pythondata_cpu_microwatt/vhdl/tests/999.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,761 root INFO copying pythondata_cpu_microwatt/vhdl/tests/999.out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,761 root INFO copying pythondata_cpu_microwatt/vhdl/tests/Makefile.test -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,761 root INFO copying pythondata_cpu_microwatt/vhdl/tests/micropython.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,762 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,762 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,762 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,763 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_decrementer.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,763 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_decrementer.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,763 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_decrementer.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,763 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_fpu.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,764 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_fpu.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,764 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_fpu.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,764 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_illegal.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,764 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_illegal.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,764 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_illegal.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,765 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_misc.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,765 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_misc.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,765 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_misc.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,765 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_mmu.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,766 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_mmu.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,766 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_mmu.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,766 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_modes.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,766 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_modes.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,767 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_modes.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,767 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_pmu.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,767 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_pmu.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,767 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_pmu.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,767 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_privileged.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,768 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_privileged.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,768 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_privileged.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,768 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_reservation.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,768 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_reservation.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,769 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_reservation.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,769 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_sc.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,769 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_sc.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,769 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_sc.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,769 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_spr_read.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,770 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_spr_read.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,770 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_spr_read.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,770 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_trace.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,770 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_trace.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,771 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_trace.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,771 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_xics.bin -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,771 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_xics.console_out -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,771 root INFO copying pythondata_cpu_microwatt/vhdl/tests/test_xics.metavalue -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,771 root INFO copying pythondata_cpu_microwatt/vhdl/tests/update_console_tests -> build/lib/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,772 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/include 2024-01-19 02:41:10,772 root INFO copying pythondata_cpu_microwatt/vhdl/include/console.h -> build/lib/pythondata_cpu_microwatt/vhdl/include 2024-01-19 02:41:10,772 root INFO copying pythondata_cpu_microwatt/vhdl/include/io.h -> build/lib/pythondata_cpu_microwatt/vhdl/include 2024-01-19 02:41:10,772 root INFO copying pythondata_cpu_microwatt/vhdl/include/microwatt_soc.h -> build/lib/pythondata_cpu_microwatt/vhdl/include 2024-01-19 02:41:10,772 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,773 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5U-25F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,773 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5U-45F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,773 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5U-85F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,773 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-25F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,773 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-45F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,774 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-85F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,774 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-25F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,774 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-45F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,774 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-85F.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,774 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/README -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,775 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/antmicro-artix-dc-scm.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,775 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/arty.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,775 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a100t.bit -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,776 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a100t_openocd_v0.11.bit -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,777 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a200t.bit -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,778 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a200t_openocd_v0.11.bit -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,781 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a35t.bit -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,781 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a35t_openocd_v0.11.bit -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,782 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7k325t_openocd_v0.11.bit -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,784 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/ecp5-evn.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,784 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/flash-arty -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,784 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/genesys2.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,784 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/jtagspi.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,785 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/olimex-arm-usb-tiny-h.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,785 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/xilinx-xc7.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,785 root INFO copying pythondata_cpu_microwatt/vhdl/openocd/xilinx-xc7_openocd_v0.11.cfg -> build/lib/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:10,785 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/liteeth.core -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth 2024-01-19 02:41:10,786 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/litedram.core -> build/lib/pythondata_cpu_microwatt/vhdl/litedram 2024-01-19 02:41:10,786 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 02:41:10,786 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/Cargo.toml -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 02:41:10,786 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 02:41:10,786 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/README.md -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 02:41:10,787 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/Xargo.toml -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 02:41:10,787 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/console.c -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 02:41:10,787 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/console.h -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 02:41:10,787 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 02:41:10,788 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/hello_world.c -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 02:41:10,788 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 02:41:10,788 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/.cargo 2024-01-19 02:41:10,788 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/.cargo/config -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/.cargo 2024-01-19 02:41:10,789 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-01-19 02:41:10,789 root INFO copying pythondata_cpu_microwatt/vhdl/sim-unisim/BSCANE2.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-01-19 02:41:10,789 root INFO copying pythondata_cpu_microwatt/vhdl/sim-unisim/BUFG.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-01-19 02:41:10,789 root INFO copying pythondata_cpu_microwatt/vhdl/sim-unisim/unisim_vcomponents.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-01-19 02:41:10,789 root INFO copying pythondata_cpu_microwatt/vhdl/litesdcard/litesdcard.core -> build/lib/pythondata_cpu_microwatt/vhdl/litesdcard 2024-01-19 02:41:10,790 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/micropython 2024-01-19 02:41:10,790 root INFO copying pythondata_cpu_microwatt/vhdl/micropython/firmware.bin -> build/lib/pythondata_cpu_microwatt/vhdl/micropython 2024-01-19 02:41:10,791 root INFO copying pythondata_cpu_microwatt/vhdl/micropython/firmware.elf -> build/lib/pythondata_cpu_microwatt/vhdl/micropython 2024-01-19 02:41:10,794 root INFO copying pythondata_cpu_microwatt/vhdl/micropython/firmware.hex -> build/lib/pythondata_cpu_microwatt/vhdl/micropython 2024-01-19 02:41:10,795 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:10,795 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/raminfr.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:10,795 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart16550.core -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:10,796 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_defines.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:10,796 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_receiver.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:10,796 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_regs.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:10,797 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_rfifo.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:10,797 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_sync_flops.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:10,797 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_tfifo.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:10,797 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_top.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:10,798 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_transmitter.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:10,798 root INFO copying pythondata_cpu_microwatt/vhdl/uart16550/uart_wb.v -> build/lib/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:10,798 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/make_version.sh -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:10,798 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/run_test.sh -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:10,799 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/run_test_console.sh -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:10,799 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/vhdltags -> build/lib/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:10,799 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 02:41:10,799 root INFO copying pythondata_cpu_microwatt/vhdl/hello_world/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 02:41:10,799 root INFO copying pythondata_cpu_microwatt/vhdl/hello_world/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 02:41:10,800 root INFO copying pythondata_cpu_microwatt/vhdl/hello_world/hello_world.bin -> build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 02:41:10,800 root INFO copying pythondata_cpu_microwatt/vhdl/hello_world/hello_world.c -> build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 02:41:10,800 root INFO copying pythondata_cpu_microwatt/vhdl/hello_world/hello_world.elf -> build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 02:41:10,801 root INFO copying pythondata_cpu_microwatt/vhdl/hello_world/hello_world.hex -> build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 02:41:10,801 root INFO copying pythondata_cpu_microwatt/vhdl/hello_world/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 02:41:10,801 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/verilator 2024-01-19 02:41:10,801 root INFO copying pythondata_cpu_microwatt/vhdl/verilator/microwatt-verilator.cpp -> build/lib/pythondata_cpu_microwatt/vhdl/verilator 2024-01-19 02:41:10,801 root INFO copying pythondata_cpu_microwatt/vhdl/verilator/uart-verilator.c -> build/lib/pythondata_cpu_microwatt/vhdl/verilator 2024-01-19 02:41:10,802 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/media 2024-01-19 02:41:10,802 root INFO copying pythondata_cpu_microwatt/vhdl/media/microwatt-title.png -> build/lib/pythondata_cpu_microwatt/vhdl/media 2024-01-19 02:41:10,802 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/lib 2024-01-19 02:41:10,802 root INFO copying pythondata_cpu_microwatt/vhdl/lib/console.c -> build/lib/pythondata_cpu_microwatt/vhdl/lib 2024-01-19 02:41:10,802 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 02:41:10,803 root INFO copying pythondata_cpu_microwatt/vhdl/tests/xics/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 02:41:10,803 root INFO copying pythondata_cpu_microwatt/vhdl/tests/xics/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 02:41:10,803 root INFO copying pythondata_cpu_microwatt/vhdl/tests/xics/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 02:41:10,803 root INFO copying pythondata_cpu_microwatt/vhdl/tests/xics/xics.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 02:41:10,803 root INFO copying pythondata_cpu_microwatt/vhdl/tests/xics/xics.h -> build/lib/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 02:41:10,804 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/modes 2024-01-19 02:41:10,804 root INFO copying pythondata_cpu_microwatt/vhdl/tests/modes/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/modes 2024-01-19 02:41:10,804 root INFO copying pythondata_cpu_microwatt/vhdl/tests/modes/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/modes 2024-01-19 02:41:10,804 root INFO copying pythondata_cpu_microwatt/vhdl/tests/modes/modes.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/modes 2024-01-19 02:41:10,804 root INFO copying pythondata_cpu_microwatt/vhdl/tests/modes/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/modes 2024-01-19 02:41:10,805 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/trace 2024-01-19 02:41:10,805 root INFO copying pythondata_cpu_microwatt/vhdl/tests/trace/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/trace 2024-01-19 02:41:10,805 root INFO copying pythondata_cpu_microwatt/vhdl/tests/trace/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/trace 2024-01-19 02:41:10,805 root INFO copying pythondata_cpu_microwatt/vhdl/tests/trace/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/trace 2024-01-19 02:41:10,805 root INFO copying pythondata_cpu_microwatt/vhdl/tests/trace/trace.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/trace 2024-01-19 02:41:10,806 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-01-19 02:41:10,806 root INFO copying pythondata_cpu_microwatt/vhdl/tests/privileged/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-01-19 02:41:10,806 root INFO copying pythondata_cpu_microwatt/vhdl/tests/privileged/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-01-19 02:41:10,806 root INFO copying pythondata_cpu_microwatt/vhdl/tests/privileged/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-01-19 02:41:10,806 root INFO copying pythondata_cpu_microwatt/vhdl/tests/privileged/privileged.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-01-19 02:41:10,807 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-01-19 02:41:10,807 root INFO copying pythondata_cpu_microwatt/vhdl/tests/illegal/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-01-19 02:41:10,807 root INFO copying pythondata_cpu_microwatt/vhdl/tests/illegal/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-01-19 02:41:10,807 root INFO copying pythondata_cpu_microwatt/vhdl/tests/illegal/illegal.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-01-19 02:41:10,807 root INFO copying pythondata_cpu_microwatt/vhdl/tests/illegal/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-01-19 02:41:10,808 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-01-19 02:41:10,808 root INFO copying pythondata_cpu_microwatt/vhdl/tests/branch_alias/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-01-19 02:41:10,808 root INFO copying pythondata_cpu_microwatt/vhdl/tests/branch_alias/branch_alias.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-01-19 02:41:10,808 root INFO copying pythondata_cpu_microwatt/vhdl/tests/branch_alias/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-01-19 02:41:10,808 root INFO copying pythondata_cpu_microwatt/vhdl/tests/branch_alias/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-01-19 02:41:10,809 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-01-19 02:41:10,809 root INFO copying pythondata_cpu_microwatt/vhdl/tests/mmu/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-01-19 02:41:10,809 root INFO copying pythondata_cpu_microwatt/vhdl/tests/mmu/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-01-19 02:41:10,809 root INFO copying pythondata_cpu_microwatt/vhdl/tests/mmu/mmu.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-01-19 02:41:10,809 root INFO copying pythondata_cpu_microwatt/vhdl/tests/mmu/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-01-19 02:41:10,810 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-01-19 02:41:10,810 root INFO copying pythondata_cpu_microwatt/vhdl/tests/reservation/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-01-19 02:41:10,810 root INFO copying pythondata_cpu_microwatt/vhdl/tests/reservation/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-01-19 02:41:10,810 root INFO copying pythondata_cpu_microwatt/vhdl/tests/reservation/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-01-19 02:41:10,810 root INFO copying pythondata_cpu_microwatt/vhdl/tests/reservation/reservation.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-01-19 02:41:10,811 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-01-19 02:41:10,811 root INFO copying pythondata_cpu_microwatt/vhdl/tests/fpu/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-01-19 02:41:10,811 root INFO copying pythondata_cpu_microwatt/vhdl/tests/fpu/fpu.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-01-19 02:41:10,811 root INFO copying pythondata_cpu_microwatt/vhdl/tests/fpu/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-01-19 02:41:10,812 root INFO copying pythondata_cpu_microwatt/vhdl/tests/fpu/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-01-19 02:41:10,812 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-01-19 02:41:10,812 root INFO copying pythondata_cpu_microwatt/vhdl/tests/spr_read/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-01-19 02:41:10,812 root INFO copying pythondata_cpu_microwatt/vhdl/tests/spr_read/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-01-19 02:41:10,812 root INFO copying pythondata_cpu_microwatt/vhdl/tests/spr_read/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-01-19 02:41:10,812 root INFO copying pythondata_cpu_microwatt/vhdl/tests/spr_read/spr_read.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-01-19 02:41:10,813 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-01-19 02:41:10,813 root INFO copying pythondata_cpu_microwatt/vhdl/tests/decrementer/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-01-19 02:41:10,813 root INFO copying pythondata_cpu_microwatt/vhdl/tests/decrementer/decrementer.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-01-19 02:41:10,813 root INFO copying pythondata_cpu_microwatt/vhdl/tests/decrementer/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-01-19 02:41:10,813 root INFO copying pythondata_cpu_microwatt/vhdl/tests/decrementer/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-01-19 02:41:10,814 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/misc 2024-01-19 02:41:10,814 root INFO copying pythondata_cpu_microwatt/vhdl/tests/misc/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/misc 2024-01-19 02:41:10,814 root INFO copying pythondata_cpu_microwatt/vhdl/tests/misc/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/misc 2024-01-19 02:41:10,814 root INFO copying pythondata_cpu_microwatt/vhdl/tests/misc/misc.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/misc 2024-01-19 02:41:10,814 root INFO copying pythondata_cpu_microwatt/vhdl/tests/misc/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/misc 2024-01-19 02:41:10,815 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-01-19 02:41:10,815 root INFO copying pythondata_cpu_microwatt/vhdl/tests/pmu/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-01-19 02:41:10,815 root INFO copying pythondata_cpu_microwatt/vhdl/tests/pmu/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-01-19 02:41:10,815 root INFO copying pythondata_cpu_microwatt/vhdl/tests/pmu/pmu.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-01-19 02:41:10,815 root INFO copying pythondata_cpu_microwatt/vhdl/tests/pmu/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-01-19 02:41:10,816 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/tests/sc 2024-01-19 02:41:10,816 root INFO copying pythondata_cpu_microwatt/vhdl/tests/sc/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/tests/sc 2024-01-19 02:41:10,816 root INFO copying pythondata_cpu_microwatt/vhdl/tests/sc/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/tests/sc 2024-01-19 02:41:10,816 root INFO copying pythondata_cpu_microwatt/vhdl/tests/sc/powerpc.lds -> build/lib/pythondata_cpu_microwatt/vhdl/tests/sc 2024-01-19 02:41:10,816 root INFO copying pythondata_cpu_microwatt/vhdl/tests/sc/sc.c -> build/lib/pythondata_cpu_microwatt/vhdl/tests/sc 2024-01-19 02:41:10,817 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-01-19 02:41:10,817 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/gen-src/arty.yml -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-01-19 02:41:10,817 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/gen-src/generate.sh -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-01-19 02:41:10,817 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/gen-src/nexys-video.yml -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-01-19 02:41:10,817 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/gen-src/wukong-v2.yml -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-01-19 02:41:10,818 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated 2024-01-19 02:41:10,818 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/arty 2024-01-19 02:41:10,818 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/generated/arty/liteeth_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/arty 2024-01-19 02:41:10,818 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/wukong-v2 2024-01-19 02:41:10,818 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/generated/wukong-v2/liteeth_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/wukong-v2 2024-01-19 02:41:10,819 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/nexys-video 2024-01-19 02:41:10,819 root INFO copying pythondata_cpu_microwatt/vhdl/liteeth/generated/nexys-video/liteeth_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/nexys-video 2024-01-19 02:41:10,820 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/litedram-wrapper-l2.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 02:41:10,820 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/sim_dram_verilate.mk -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 02:41:10,820 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/sim_litedram.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 02:41:10,820 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/sim_litedram_c.cpp -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 02:41:10,821 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/wave.gtkw -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 02:41:10,821 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/wave.opt -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 02:41:10,821 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/extras/wave_tb.gtkw -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 02:41:10,821 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated 2024-01-19 02:41:10,822 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-01-19 02:41:10,822 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram-initmem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-01-19 02:41:10,822 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram_core.init -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-01-19 02:41:10,822 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-01-19 02:41:10,823 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/acorn-cle-215.yml -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 02:41:10,823 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/arty.yml -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 02:41:10,824 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/dram-init-mem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 02:41:10,824 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/genesys2.yml -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 02:41:10,824 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/nexys-video.yml -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 02:41:10,824 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/no-init-mem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 02:41:10,825 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/orangecrab-85-0.2.yml -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 02:41:10,825 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sim.yml -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 02:41:10,825 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/wukong-v2.yml -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 02:41:10,825 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-01-19 02:41:10,825 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram-initmem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-01-19 02:41:10,826 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram_core.init -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-01-19 02:41:10,826 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-01-19 02:41:10,827 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-01-19 02:41:10,827 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram-initmem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-01-19 02:41:10,827 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram_core.init -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-01-19 02:41:10,828 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-01-19 02:41:10,829 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-01-19 02:41:10,829 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram-initmem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-01-19 02:41:10,829 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram_core.init -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-01-19 02:41:10,829 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-01-19 02:41:10,831 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-01-19 02:41:10,831 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram-initmem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-01-19 02:41:10,831 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram_core.init -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-01-19 02:41:10,832 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-01-19 02:41:10,833 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-01-19 02:41:10,833 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram-initmem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-01-19 02:41:10,833 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram_core.init -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-01-19 02:41:10,833 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-01-19 02:41:10,835 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-01-19 02:41:10,835 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram-initmem.vhdl -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-01-19 02:41:10,835 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram_core.init -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-01-19 02:41:10,835 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-01-19 02:41:10,836 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 02:41:10,837 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/head.S -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 02:41:10,837 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/main.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 02:41:10,837 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/sdram_init.lds.S -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 02:41:10,837 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-01-19 02:41:10,837 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/elf64.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-01-19 02:41:10,838 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/elf_common.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-01-19 02:41:10,838 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/system.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-01-19 02:41:10,838 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc 2024-01-19 02:41:10,838 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,838 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isdigit.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,839 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isprint.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,839 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isspace.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,839 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isxdigit.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,839 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memchr.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,840 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memcmp.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,840 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memcpy.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,840 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memmove.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,840 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memset.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,840 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcasecmp.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,841 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcat.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,841 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strchr.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,841 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcmp.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,841 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcpy.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,841 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strlen.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,842 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncasecmp.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,842 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncmp.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,842 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncpy.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,842 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strrchr.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,843 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strstr.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,843 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtok.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,843 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtol.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,843 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtoul.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,843 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/tolower.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,844 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/toupper.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,844 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/vsnprintf.c -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:10,844 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 02:41:10,844 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/assert.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 02:41:10,844 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/compiler.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 02:41:10,845 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/ctype.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 02:41:10,845 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/errno.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 02:41:10,845 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/limits.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 02:41:10,845 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdint.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 02:41:10,845 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdio.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 02:41:10,846 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdlib.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 02:41:10,846 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/string.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 02:41:10,846 root INFO copying pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/unistd.h -> build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 02:41:10,846 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/src 2024-01-19 02:41:10,846 root INFO copying pythondata_cpu_microwatt/vhdl/rust_lib_demo/src/lib.rs -> build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/src 2024-01-19 02:41:10,847 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/gen-src 2024-01-19 02:41:10,847 root INFO copying pythondata_cpu_microwatt/vhdl/litesdcard/gen-src/generate.sh -> build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/gen-src 2024-01-19 02:41:10,847 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/generated 2024-01-19 02:41:10,847 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/generated/xilinx 2024-01-19 02:41:10,847 root INFO copying pythondata_cpu_microwatt/vhdl/litesdcard/generated/xilinx/litesdcard_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/generated/xilinx 2024-01-19 02:41:10,848 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/generated/lattice 2024-01-19 02:41:10,848 root INFO copying pythondata_cpu_microwatt/vhdl/litesdcard/generated/lattice/litesdcard_core.v -> build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/generated/lattice 2024-01-19 02:41:10,849 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/scripts/fmt_log 2024-01-19 02:41:10,849 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/fmt_log/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/scripts/fmt_log 2024-01-19 02:41:10,849 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/fmt_log/fmt_log.c -> build/lib/pythondata_cpu_microwatt/vhdl/scripts/fmt_log 2024-01-19 02:41:10,849 root INFO creating build/lib/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-01-19 02:41:10,849 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/mw_debug/Makefile -> build/lib/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-01-19 02:41:10,850 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/mw_debug/README -> build/lib/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-01-19 02:41:10,850 root INFO copying pythondata_cpu_microwatt/vhdl/scripts/mw_debug/mw_debug.c -> build/lib/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-01-19 02:41:10,863 wheel INFO installing to build/bdist.linux-x86_64/wheel 2024-01-19 02:41:10,864 root INFO running install 2024-01-19 02:41:10,872 root INFO running install_lib 2024-01-19 02:41:10,875 root INFO creating build/bdist.linux-x86_64 2024-01-19 02:41:10,875 root INFO creating build/bdist.linux-x86_64/wheel 2024-01-19 02:41:10,875 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt 2024-01-19 02:41:10,875 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,875 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/pmu.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,875 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/wishbone_types.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,876 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fetch1.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,876 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_jtag.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,876 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/decode2.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,876 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/constraints 2024-01-19 02:41:10,876 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/constraints/orange-crab-0.2.lpf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/constraints 2024-01-19 02:41:10,877 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/constraints/orange-crab.lpf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/constraints 2024-01-19 02:41:10,877 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/constraints/ecp5-evn.lpf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/constraints 2024-01-19 02:41:10,877 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,877 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/wukong-v2.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,877 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/nexys-video.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,878 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/clk_gen_plle2.vhd -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,878 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/antmicro_artix_dc_scm.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,878 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/fpga-random.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,878 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/cmod_a7-35.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,878 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-arty.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,879 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/main_bram.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,879 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/soc_reset_tb.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,879 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/pp_fifo.vhd -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,879 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/fpga-random.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,879 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-generic.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,880 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/LICENSE -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,880 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/acorn-cle-215.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,880 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/arty_a7.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,880 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-wukong-v2.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,881 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/clk_gen_ecp5.vhd -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,881 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/firmware.hex -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,882 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/nexys_a7.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,882 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/clk_gen_mcmm.vhd -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,882 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/pp_utilities.vhd -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,882 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-nexys-video.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,883 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/clk_gen_bypass.vhd -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,883 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-acorn-cle-215.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,883 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/soc_reset.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,883 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-genesys2.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,884 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/hello_world.hex -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,884 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/genesys2.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,884 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-antmicro-artix-dc-scm.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,884 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/top-orangecrab0.2.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,884 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpga/pp_soc_uart.vhd -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/fpga 2024-01-19 02:41:10,885 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/git.vhdl.in -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,885 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/core_flash_tb.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,885 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/dcache_tb.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,885 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/spi_rxtx.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,885 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/glibc_random.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,886 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/dmi_dtm_ecp5.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,886 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/.github 2024-01-19 02:41:10,886 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/.github/workflows 2024-01-19 02:41:10,886 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/.github/workflows/test.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/.github/workflows 2024-01-19 02:41:10,886 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/decode_types.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,887 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rotator_tb.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,887 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,887 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/icache.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,887 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sync_fifo.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:10,889 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,889 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/332.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,889 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/499.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,890 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/102.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,890 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/953.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,890 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/918.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,890 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/100.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,891 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/298.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,891 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/284.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,891 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/845.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,891 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/869.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,892 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/611.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,892 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/119.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,892 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/90.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,893 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/547.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,893 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/30.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,893 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/555.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,893 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/253.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,893 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/413.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,894 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/580.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,894 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/85.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,894 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/599.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,894 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_misc.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,895 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/105.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,895 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/32.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,895 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/116.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,895 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/227.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,896 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/481.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,896 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/784.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,896 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/633.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,897 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/77.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,897 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/353.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,897 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/157.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,897 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/413.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,898 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/385.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,898 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/575.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,898 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/541.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,898 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/642.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,899 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/254.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,899 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/748.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,899 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/26.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,899 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/931.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,899 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/132.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,899 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/313.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,900 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/764.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,900 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/300.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,900 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/518.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,901 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/96.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,901 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/781.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,901 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/896.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,901 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/35.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,901 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/851.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,902 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/617.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,902 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/896.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,902 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/148.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,903 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/905.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,903 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/910.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,903 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/996.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,903 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/797.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,904 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/426.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,904 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/447.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,904 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/668.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,904 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/585.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,905 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/815.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,905 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/717.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,905 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/452.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,906 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/957.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,906 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/12.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,906 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/184.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,906 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/830.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,907 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/726.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,907 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/78.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,907 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_trace.console_out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,908 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/202.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,908 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/913.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,908 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/666.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,908 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/305.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,909 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/393.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,909 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/780.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,909 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/54.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,910 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/120.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,910 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/685.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,910 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/630.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,911 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/934.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,911 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/937.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,911 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/142.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,911 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/739.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,911 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/636.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,912 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_privileged.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,912 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/459.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,912 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/750.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,913 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/533.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,913 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/722.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,913 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/248.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,913 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/63.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,914 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/410.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,914 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/721.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,914 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/988.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,914 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/248.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,915 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/990.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,915 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/783.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,915 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/972.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,916 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/791.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,916 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/546.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,916 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/477.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,916 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/842.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,916 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/571.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,917 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/86.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,917 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/709.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,917 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/81.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,917 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/66.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,918 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/297.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,918 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/5.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,918 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 02:41:10,919 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/xics/xics.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 02:41:10,919 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/xics/powerpc.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 02:41:10,919 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/xics/xics.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 02:41:10,919 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/xics/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 02:41:10,919 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/xics/head.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/xics 2024-01-19 02:41:10,919 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/971.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,920 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/502.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,920 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/435.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,920 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/517.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,920 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/725.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,920 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/331.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,921 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/369.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,921 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/890.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,921 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/37.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,922 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/535.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,922 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/23.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,922 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/944.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,922 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/385.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,923 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/626.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,923 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/325.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,923 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/728.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,924 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/39.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,924 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/737.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,924 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/727.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,924 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/324.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,925 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/397.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,925 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/561.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,925 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/411.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,925 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/845.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,926 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/961.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,926 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/792.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,926 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/223.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,926 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/234.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,927 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/342.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,927 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/835.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,927 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/25.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,928 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/444.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,928 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/159.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,928 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/540.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,928 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/282.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,929 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/883.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,929 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/407.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,929 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/302.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,930 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/404.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,930 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/862.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,930 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/563.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,930 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/412.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,931 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/995.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,931 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/619.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,931 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/338.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,932 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/789.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,932 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/315.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,932 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/579.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,932 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/274.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,933 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/254.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,933 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/548.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,933 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/568.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,934 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/243.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,934 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/427.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,934 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/700.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,935 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/804.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,935 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/677.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,935 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/492.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,935 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/128.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,935 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/137.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,936 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/581.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,936 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/63.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,936 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/273.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,937 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/712.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,937 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/913.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,937 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/117.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,937 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/122.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,938 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/383.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,938 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/282.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,938 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/26.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,939 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/973.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,939 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/762.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,939 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/624.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,939 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/121.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,939 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/588.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,940 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/389.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,940 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/269.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,940 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/381.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,940 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/923.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,941 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/918.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,941 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/25.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,941 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/196.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,941 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/807.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,942 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/284.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,942 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/216.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,942 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/673.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,942 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/871.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,942 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/760.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,943 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/303.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,943 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/401.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,943 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/891.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,944 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/563.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,944 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/736.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,944 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/379.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,945 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/501.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,945 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/89.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,945 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/271.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,945 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/219.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,946 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/876.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,946 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/767.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,946 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/615.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,947 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/584.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,947 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/175.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,947 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/243.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,947 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/75.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,948 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/601.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,948 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/218.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,948 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/67.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,949 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/574.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,949 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/134.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,949 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/376.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,950 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/616.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,950 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/194.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,950 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/437.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,951 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/592.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,951 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/183.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,951 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/256.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,951 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/901.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,952 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/705.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,952 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/775.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,952 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_sc.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,952 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/520.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,953 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/764.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,953 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/542.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,953 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/101.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,954 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/394.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,954 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/604.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,954 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/587.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,955 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/637.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,955 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/520.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,955 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/463.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,956 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/230.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,956 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/984.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,956 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/345.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,956 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/691.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,957 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/591.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,957 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/720.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,957 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/983.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,957 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/461.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,958 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/919.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,958 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/654.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,958 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/651.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,958 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/249.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,959 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/538.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,959 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_sc.console_out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,959 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/488.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,959 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/161.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,960 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/909.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,960 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/711.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,960 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/585.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,961 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/359.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,961 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/903.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,961 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_pmu.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,961 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/41.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,962 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/938.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,962 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/167.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,962 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/195.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,963 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/129.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,963 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/509.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,963 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/925.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,964 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/30.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,964 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/49.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,964 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/702.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,965 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/942.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,965 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/924.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,965 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/350.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,966 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/953.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,966 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/312.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,966 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/562.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,966 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/255.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,967 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/16.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,967 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/modes 2024-01-19 02:41:10,967 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/modes/powerpc.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/modes 2024-01-19 02:41:10,967 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/modes/modes.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/modes 2024-01-19 02:41:10,967 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/modes/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/modes 2024-01-19 02:41:10,967 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/modes/head.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/modes 2024-01-19 02:41:10,968 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/707.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,968 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/92.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,968 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/904.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,968 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/656.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,969 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/376.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,969 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/747.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,969 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/263.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,970 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/108.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,970 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/703.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,970 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/trace 2024-01-19 02:41:10,970 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/trace/powerpc.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/trace 2024-01-19 02:41:10,970 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/trace/trace.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/trace 2024-01-19 02:41:10,970 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/trace/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/trace 2024-01-19 02:41:10,971 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/trace/head.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/trace 2024-01-19 02:41:10,971 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/980.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,971 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/414.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,972 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/236.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,972 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/392.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,972 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/185.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,972 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/103.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,973 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/986.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,973 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-01-19 02:41:10,974 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged/privileged.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-01-19 02:41:10,974 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged/powerpc.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-01-19 02:41:10,974 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-01-19 02:41:10,974 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/privileged/head.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/privileged 2024-01-19 02:41:10,974 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_sc.metavalue -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,975 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/353.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,975 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/174.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,975 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/micropython.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,976 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/196.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,976 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/75.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,976 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/919.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,976 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/127.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,977 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/596.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,977 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/888.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,977 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/680.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,978 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/915.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,978 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/50.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,978 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/242.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,979 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/959.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,979 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/21.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,979 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/515.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,980 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/59.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,980 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/347.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,980 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/260.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,980 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/235.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,981 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/922.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,981 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/320.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,981 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/863.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,981 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/882.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,982 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/506.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,982 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/395.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,982 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/213.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,983 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/961.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,983 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/304.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,983 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/465.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,983 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/377.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,984 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/673.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,984 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/431.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,984 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/665.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,985 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/60.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,985 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.metavalue -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,985 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/816.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,986 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/213.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,986 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/870.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,986 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/405.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,986 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/429.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,987 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/260.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,987 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/560.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,987 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/496.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,988 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/590.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,988 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/72.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,988 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/833.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,988 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/269.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,989 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/132.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,989 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/168.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,989 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/694.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,990 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/544.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,990 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/103.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,990 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/646.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,991 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/73.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,991 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/198.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,991 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/136.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,991 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/758.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,992 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/916.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,992 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/923.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,992 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/58.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,993 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/312.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,993 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/432.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,993 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/804.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,994 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/597.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,994 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/593.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,994 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/742.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,995 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/203.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,995 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/236.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,995 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/999.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,995 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-01-19 02:41:10,995 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal/powerpc.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-01-19 02:41:10,996 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal/illegal.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-01-19 02:41:10,996 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-01-19 02:41:10,996 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/illegal/head.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/illegal 2024-01-19 02:41:10,996 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/608.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,996 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/632.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,997 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/146.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,997 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/369.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,998 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/11.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,998 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/526.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,998 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/911.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,998 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/266.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,999 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/715.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,999 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/610.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,999 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/123.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:10,999 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/858.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,000 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/551.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,000 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/275.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,001 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/920.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,001 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/322.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,001 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/513.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,001 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/875.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,002 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/620.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,002 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/600.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,002 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/76.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,003 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/322.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,003 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/360.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,003 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/744.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,004 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/316.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,004 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/424.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,004 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/241.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,004 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/513.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,005 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/755.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,005 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/2.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,005 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/873.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,005 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/824.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,006 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/850.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,006 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/464.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,006 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/124.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,006 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/695.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,007 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/302.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,007 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/74.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,007 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/175.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,008 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/384.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,008 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/864.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,008 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/355.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,008 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/193.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,009 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/251.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,009 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/731.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,009 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/581.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,009 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/466.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,010 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/515.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,010 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/955.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,010 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/31.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,011 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/480.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,011 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_fpu.metavalue -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,011 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/159.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,011 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/634.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,012 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/512.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,012 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/795.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,012 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/742.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,013 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/802.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,013 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/110.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,013 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/398.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,014 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/556.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,014 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/924.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,014 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/294.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,014 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/917.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,015 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/987.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,015 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/110.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,015 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/257.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,016 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/844.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,016 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/355.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,016 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/662.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,017 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/893.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,017 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/493.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,017 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/753.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,017 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/170.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,018 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/884.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,018 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/418.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,018 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/663.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,019 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/163.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,019 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/438.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,019 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/406.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,019 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,020 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/143.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,020 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/611.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,020 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/42.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,020 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/808.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,021 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_reservation.console_out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,021 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/179.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,021 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/968.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,022 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/977.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,022 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/292.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,022 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/279.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,022 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/328.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,023 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/898.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,023 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/256.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,023 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/936.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,023 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/280.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,024 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/52.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,024 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/237.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,024 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/111.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,025 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/208.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,025 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/865.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,025 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/485.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,026 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/652.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,026 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/608.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,026 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/37.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,027 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/964.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,027 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/838.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,027 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/170.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,027 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/976.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,028 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/303.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,028 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/395.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,028 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/859.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,029 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/945.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,029 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/832.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,029 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/567.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,030 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/929.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,030 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/647.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,030 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/182.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,030 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/306.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,031 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/903.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,031 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/234.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,031 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/574.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,031 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/187.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,032 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/968.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,032 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_modes.console_out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,032 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/704.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,032 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/933.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,033 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_reservation.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,033 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/820.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,033 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_privileged.metavalue -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,033 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/741.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,033 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/727.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,034 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/212.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,034 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/644.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,034 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/50.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,035 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/200.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,035 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/475.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,035 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/392.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,035 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/578.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,036 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/14.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,036 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/954.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,036 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/416.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,037 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/511.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,037 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/294.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,037 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/852.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,037 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/430.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,038 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/439.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,038 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/13.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,038 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/126.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,038 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/557.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,039 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/327.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,039 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/829.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,039 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/890.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,040 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/865.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,040 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/629.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,040 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/352.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,041 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/583.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,041 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/802.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,041 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/565.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,041 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/548.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,041 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/455.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,042 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/434.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,042 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/358.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,042 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/463.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,042 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/47.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,043 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/204.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,043 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/612.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,043 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/503.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,044 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/164.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,044 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/497.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,044 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/881.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,045 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/65.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,045 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/307.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,045 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/734.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,046 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/956.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,046 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/939.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,046 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/386.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,046 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/866.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,047 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/949.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,047 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/975.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,047 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/153.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,047 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/411.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,048 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/754.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,048 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/812.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,048 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/181.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,048 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/880.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,049 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/344.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,049 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/941.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,049 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/822.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,050 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/969.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,050 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/857.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,050 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/538.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,050 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/667.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,051 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/178.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,051 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/521.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,051 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/120.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,051 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/367.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,052 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/827.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,052 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/620.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,052 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/3.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,052 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/498.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,052 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/710.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,053 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/440.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,053 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/507.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,053 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/339.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,053 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/606.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,054 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/403.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,054 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/316.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,054 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/276.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,054 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/864.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,055 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/471.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,055 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/247.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,055 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/141.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,056 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/459.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,056 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/799.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,056 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/189.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,056 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/467.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,057 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/883.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,057 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/940.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,057 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/596.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,058 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/997.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,058 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/28.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,058 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/708.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,058 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/384.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,058 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/379.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,059 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/62.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,059 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/121.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,059 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/330.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,060 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_decrementer.console_out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,060 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/988.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,060 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/500.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,060 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/357.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,061 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/994.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,061 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/414.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,061 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/970.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,062 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/701.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,062 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/262.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,062 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/18.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,062 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/989.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,062 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/291.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,063 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/4.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,063 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_privileged.console_out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,063 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/80.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,064 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/998.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,064 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/902.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,064 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/53.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,065 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/524.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,065 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/240.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,065 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/98.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,065 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/49.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,066 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/20.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,066 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/892.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,067 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/549.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,067 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/933.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,067 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/906.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,067 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/932.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,067 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/1.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,068 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/261.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,068 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/299.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,068 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/653.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,069 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/770.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,069 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/732.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,069 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/994.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,069 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/191.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,070 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/270.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,070 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/661.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,070 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/313.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,070 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/569.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,071 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/456.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,071 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/819.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,071 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/855.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,071 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/674.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,072 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/941.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,072 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/6.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,072 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/492.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,073 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/682.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,073 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/788.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,073 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/131.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,074 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/684.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,074 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/61.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,074 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/22.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,074 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/946.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,075 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/438.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,075 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/529.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,075 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/415.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,075 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/34.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,076 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/752.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,076 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/867.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,076 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/530.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,076 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/224.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,077 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/831.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,077 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/661.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,077 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/521.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,077 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/835.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,078 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/542.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,078 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/206.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,078 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/522.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,079 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/62.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,079 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/706.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,079 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/144.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,079 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/482.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,080 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/796.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,080 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/107.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,080 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/119.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,080 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/65.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,081 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/311.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,081 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/231.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,081 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/982.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,082 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/138.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,082 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/738.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,082 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/606.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,083 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/503.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,083 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/386.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,083 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/19.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,084 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/964.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,084 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/605.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,084 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/348.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,085 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/266.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,085 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/259.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,085 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/912.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,085 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/6.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,085 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/693.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,086 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/35.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,086 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/609.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,086 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/422.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,086 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/97.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,087 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/67.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,087 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/701.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,087 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/164.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,087 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/687.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,088 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/773.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,088 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/114.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,088 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/79.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,088 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/519.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,088 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/423.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,089 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/71.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,089 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/407.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,089 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/197.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,090 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/627.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,090 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/154.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,090 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/192.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,090 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/18.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,091 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/239.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,091 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/147.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,091 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/79.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,092 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/137.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,092 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_pmu.console_out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,092 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/545.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,092 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/960.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,093 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/985.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,093 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/217.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,093 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/165.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,093 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/479.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,094 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/703.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,094 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/870.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,095 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/951.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,095 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/622.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,095 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/277.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,095 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/245.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,096 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/51.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,096 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/562.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,096 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/558.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,096 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/699.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,097 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/209.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,097 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/573.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,097 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/267.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,097 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_misc.console_out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,098 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/68.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,098 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/84.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,098 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/991.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,099 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/625.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,099 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/641.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,099 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/897.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,099 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/205.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,100 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/158.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,100 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/152.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,100 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/221.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,101 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/245.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,101 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/500.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,101 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-01-19 02:41:11,101 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias/powerpc.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-01-19 02:41:11,102 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias/branch_alias.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-01-19 02:41:11,102 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-01-19 02:41:11,102 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/branch_alias/head.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/branch_alias 2024-01-19 02:41:11,102 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/617.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,102 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/739.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,103 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/191.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,103 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/738.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,103 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/839.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,104 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/351.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,104 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/208.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,104 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/857.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,104 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/127.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,105 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/692.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,105 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/981.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,105 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/632.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,105 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/962.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,105 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/826.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,106 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/336.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,106 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/104.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,106 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/643.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,106 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/626.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,107 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/82.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,107 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/27.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,107 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/936.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,108 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/193.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,108 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/846.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,108 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/204.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,108 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/666.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,108 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/914.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,109 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/290.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,109 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/887.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,109 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/225.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,110 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/300.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,110 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/970.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,110 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/912.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,110 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/348.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,111 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/293.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,111 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/439.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,111 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/115.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,112 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/534.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,112 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/587.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,112 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_fpu.console_out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,113 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/916.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,113 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/374.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,113 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/824.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,113 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/149.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,113 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/314.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,114 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/841.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,114 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_fpu.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,114 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/765.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,114 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/108.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,115 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/485.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,115 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/763.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,115 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/336.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,115 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/220.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,116 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/898.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,116 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/445.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,116 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/380.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,117 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/963.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,117 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/378.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,117 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/706.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,117 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/956.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,118 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/670.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,118 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/335.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,118 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/768.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,119 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/29.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,119 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/995.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,119 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/113.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,119 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/380.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,119 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/784.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,120 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/450.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,120 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/301.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,120 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/853.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,120 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/478.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,121 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/967.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,121 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/684.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,121 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/362.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,122 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/655.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,122 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/818.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,122 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/921.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,122 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/142.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,123 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/928.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,123 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/306.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,123 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/779.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,123 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/938.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,124 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/371.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,124 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/465.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,124 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/534.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,125 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/274.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,125 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/586.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,125 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/287.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,125 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/508.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,126 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/2.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,126 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/64.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,126 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/476.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,126 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/404.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,127 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/377.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,127 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/930.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,127 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/389.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,128 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_mmu.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,128 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/446.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,128 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/737.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,129 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/950.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,129 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/178.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,129 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/367.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,130 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/314.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,130 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/984.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,130 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/782.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,131 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/533.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,131 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/505.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,131 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/365.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,132 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/878.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,132 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/825.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,132 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/572.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,132 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/664.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,132 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/582.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,133 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/34.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,133 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/671.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,133 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/78.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,133 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/52.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,134 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/709.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,134 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/748.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,134 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/741.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,135 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/352.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,135 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/13.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,135 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/609.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,136 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/525.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,136 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/425.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,136 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/775.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,137 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/501.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,137 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/638.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,137 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/907.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,138 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/220.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,138 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/188.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,138 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/506.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,138 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/77.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,139 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/536.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,139 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/124.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,139 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/71.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,139 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/768.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,140 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/17.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,140 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/866.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,140 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/724.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,140 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/680.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,141 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/261.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,141 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/859.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,141 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/571.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,142 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/239.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,142 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/337.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,142 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/136.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,142 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/160.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,143 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/761.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,143 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/763.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,143 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/635.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,144 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/169.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,144 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/425.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,144 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/792.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,144 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/153.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,144 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/152.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,145 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/346.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,145 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/973.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,145 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/283.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,146 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/712.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,146 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/129.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,146 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/569.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,146 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/382.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,147 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/605.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,147 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/242.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,147 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/743.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,147 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/394.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,148 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/264.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,148 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/592.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,148 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/57.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,149 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/327.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,149 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/443.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,149 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/232.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,149 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/640.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,150 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/863.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,150 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/183.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,150 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/949.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,151 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/477.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,151 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/965.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,151 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/70.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,152 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/158.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,152 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/776.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,152 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/66.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,152 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/217.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,153 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/490.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,153 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/134.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,153 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/717.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,154 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/552.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,154 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/150.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,154 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/349.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,154 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/691.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,154 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/735.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,155 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/139.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,155 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/920.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,155 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/729.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,156 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/655.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,156 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/489.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,156 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/442.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,157 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/307.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,157 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/391.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,157 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/418.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,157 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/487.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,158 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/826.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,158 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/126.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,158 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/746.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,158 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/330.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,159 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/630.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,159 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/877.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,159 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/702.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,159 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/203.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,160 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/391.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,160 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/648.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,161 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/885.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,161 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/713.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,161 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/645.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,161 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/72.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,162 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/125.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,162 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/690.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,162 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/93.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,163 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/416.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,163 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/150.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,164 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/519.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,164 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/814.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,164 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/772.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,164 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/986.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,165 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/308.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,165 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/268.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,165 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/444.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,166 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/607.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,166 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/698.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,166 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/341.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,166 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/69.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,167 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/975.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,167 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/363.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,167 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/553.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,167 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/343.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,168 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/148.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,168 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/745.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,168 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/244.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,168 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/725.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,169 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/551.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,169 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/669.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,169 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/116.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,169 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/361.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,170 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/496.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,170 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/430.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,170 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/337.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,171 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/231.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,171 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/331.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,171 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/286.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,171 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/927.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,172 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/537.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,172 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/992.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,172 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/490.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,173 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/774.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,173 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/111.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,173 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/105.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,173 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/345.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,174 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/671.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,174 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/22.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,174 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/451.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,175 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/908.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,175 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/83.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,175 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/7.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,175 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/952.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,175 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/825.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,176 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/333.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,176 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/679.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,177 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/505.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,177 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/800.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,177 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/32.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,177 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/329.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,178 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/726.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,178 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/464.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,178 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/595.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,179 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/53.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,179 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/273.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,179 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/211.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,179 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/810.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,180 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/932.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,180 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/317.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,180 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/200.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,180 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/696.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,181 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/190.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,181 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/719.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,181 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/759.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,182 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/733.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,182 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/275.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,182 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/319.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,182 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/59.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,182 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/462.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,183 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/295.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,183 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/361.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,184 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/621.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,184 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/723.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,184 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/462.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,184 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/828.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,184 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/222.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,185 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/958.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,185 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/856.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,185 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/28.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,185 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/212.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,186 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/555.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,186 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/983.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,186 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/201.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,187 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/455.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,187 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/240.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,187 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/228.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,188 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/130.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,188 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/514.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,188 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/423.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,189 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/527.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,189 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/848.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,189 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/718.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,189 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/633.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,190 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/95.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,190 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/577.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,190 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/760.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,191 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/176.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,191 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/179.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,191 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/431.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,191 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/383.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,192 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/688.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,192 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/443.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,192 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/51.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,193 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/372.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,193 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/697.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,193 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/474.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,193 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/388.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,194 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/286.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,194 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/584.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,194 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/434.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,194 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/579.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,195 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/544.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,195 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/323.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,195 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/278.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,195 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/165.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,195 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/791.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,196 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/740.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,196 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/648.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,196 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/803.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,196 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/176.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,197 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/989.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,197 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/615.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,197 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/639.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,198 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/925.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,198 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/441.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,198 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/722.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,198 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/457.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,198 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/4.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,199 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/675.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,199 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/40.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,199 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/9.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,199 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/10.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,199 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/83.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,200 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/776.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,200 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/831.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,200 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/811.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,201 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/905.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,201 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/436.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,202 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/599.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,202 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/99.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,202 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/350.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,202 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/547.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,203 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/390.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,203 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/818.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,203 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/504.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,204 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/908.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,204 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/711.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,204 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/44.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,205 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/512.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,205 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/40.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,205 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/658.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,206 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/861.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,206 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/155.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,206 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/74.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,207 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/747.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,207 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/952.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,207 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/162.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,208 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/402.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,208 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_spr_read.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,208 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/226.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,209 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/708.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,209 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/33.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,209 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/468.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,209 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/904.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,210 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/495.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,210 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/874.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,210 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/135.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,210 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/476.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,211 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_spr_read.metavalue -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,211 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/343.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,211 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/210.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,211 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/926.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,212 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/453.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,212 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/944.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,212 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_reservation.metavalue -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,212 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/672.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,213 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/652.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,213 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/991.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,214 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/421.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,214 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/241.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,214 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/816.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,214 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/447.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,215 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/889.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,215 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/561.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,215 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/821.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,215 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/662.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,215 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/73.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,216 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/14.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,216 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/746.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,216 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/522.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,217 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/131.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,217 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/624.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,217 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/109.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,218 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/959.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,218 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/766.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,218 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/930.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,218 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/419.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,219 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/660.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,219 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/375.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,219 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/429.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,219 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/780.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,220 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/700.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,220 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/356.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,220 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/539.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,221 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/778.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,221 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/978.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,221 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/39.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,222 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/757.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,222 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/604.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,222 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/810.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,223 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/543.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,223 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/820.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,223 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/523.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,224 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/595.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,224 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/128.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,224 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_trace.metavalue -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,225 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/381.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,225 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/184.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,225 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/777.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,225 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/24.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,226 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/758.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,226 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/937.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,226 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/787.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,226 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/233.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,226 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/523.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,227 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/29.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,227 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/813.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,227 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/897.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,227 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/366.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,228 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/687.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,228 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/707.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,229 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/732.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,229 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/224.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,229 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/716.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,229 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/568.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,230 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/582.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,230 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/445.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,230 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/838.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,231 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/880.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,231 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/696.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,231 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/3.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,231 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/878.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,232 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/390.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,232 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/583.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,232 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/779.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,232 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/769.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,233 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/130.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,233 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/755.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,233 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/774.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,233 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/593.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,234 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/899.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,234 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/186.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,234 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/550.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,235 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/566.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,235 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/308.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,235 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/507.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,235 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/318.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,236 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/169.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,236 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/90.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,236 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/690.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,236 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/107.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,237 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/621.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,237 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/473.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,238 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/504.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,238 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/155.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,238 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/415.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,238 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/642.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,239 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/683.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,239 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/830.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,239 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_trace.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,240 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/296.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,240 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/421.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,240 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/235.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,240 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/64.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,241 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/765.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,241 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/852.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,241 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/719.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,242 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/631.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,242 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/338.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,242 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/147.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,242 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/91.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,242 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/400.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,243 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/697.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,243 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/721.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,243 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/886.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,244 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/475.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,244 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/387.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,244 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/449.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,245 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/484.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,245 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/160.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,245 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/156.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,245 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/408.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,246 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/598.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,246 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/895.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,246 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/799.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,247 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/807.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,247 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/730.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,247 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/834.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,248 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/607.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,248 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/46.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,248 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-01-19 02:41:11,248 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu/mmu.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-01-19 02:41:11,249 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu/powerpc.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-01-19 02:41:11,249 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-01-19 02:41:11,249 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/mmu/head.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/mmu 2024-01-19 02:41:11,249 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/842.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,249 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/750.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,250 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/456.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,250 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/400.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,250 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/536.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,251 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/483.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,251 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/156.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,251 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/427.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,251 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/82.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,251 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/597.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,252 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/Makefile.test -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,252 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/237.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,252 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/576.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,252 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/966.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,253 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/403.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,253 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/643.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,253 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/951.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,254 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/858.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,254 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/756.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,254 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/997.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,255 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_xics.metavalue -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,255 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/967.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,255 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/442.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,255 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_decrementer.metavalue -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,256 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/928.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,256 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/471.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,256 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/225.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,256 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/843.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,257 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/954.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,257 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/675.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,257 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/657.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,258 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/351.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,258 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/285.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,258 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/98.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,259 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/814.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,259 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/785.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,259 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/796.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,260 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/965.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,260 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/895.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,260 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/223.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,260 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/209.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,261 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_illegal.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,261 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/876.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,261 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/454.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,261 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/670.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,262 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/304.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,262 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/207.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,262 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/118.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,263 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/324.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,263 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/888.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,263 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/60.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,263 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/689.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,263 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/428.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,264 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/728.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,264 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/168.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,264 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/616.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,264 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/117.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,264 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/836.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,265 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/649.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,265 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/480.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,265 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/665.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,266 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/290.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,266 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/510.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,266 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/259.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,266 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/440.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,267 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/729.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,267 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/543.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,267 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/502.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,268 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/525.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,268 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/943.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,268 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/559.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,269 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/743.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,269 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/798.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,269 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/232.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,269 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/238.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,269 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/305.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,270 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/417.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,270 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/877.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,270 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/672.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,270 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/591.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,271 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/172.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,271 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/681.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,271 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/914.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,272 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/815.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,272 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/363.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,272 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/990.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,272 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/771.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,273 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/530.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,273 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/556.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,273 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/493.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,274 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.console_out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,274 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/754.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,274 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/907.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,274 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/16.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,275 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/1000.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,275 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/710.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,276 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/499.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,276 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/651.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,276 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/926.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,276 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/985.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,277 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/833.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,277 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/454.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,277 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/70.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,277 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/68.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,278 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/860.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,278 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/971.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,278 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/640.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,279 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/939.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,279 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/686.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,279 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/357.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,279 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/987.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,280 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/172.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,280 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/309.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,280 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/252.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,280 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/371.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,281 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/87.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,281 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/197.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,281 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/279.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,282 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/846.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,282 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/705.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,282 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/623.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,283 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-01-19 02:41:11,283 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation/reservation.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-01-19 02:41:11,283 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation/powerpc.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-01-19 02:41:11,283 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-01-19 02:41:11,283 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/reservation/head.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/reservation 2024-01-19 02:41:11,284 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/894.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,284 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_mmu.metavalue -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,284 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/872.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,285 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/794.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,285 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/481.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,285 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/315.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,285 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/641.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,286 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/510.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,286 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/139.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,286 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/753.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,287 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/881.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,287 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/426.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,287 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/486.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,287 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/104.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,288 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/94.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,288 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/869.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,288 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/806.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,288 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/998.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,289 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/326.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,289 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/406.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,289 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/752.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,290 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/819.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,290 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/85.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,290 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/171.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,291 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/518.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,291 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/458.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,291 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/265.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,291 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/69.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,292 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/187.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,292 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/278.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,292 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/993.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,292 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/731.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,293 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/658.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,293 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/637.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,293 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/724.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,293 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/144.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,294 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/106.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,294 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/586.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,294 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/851.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,295 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/603.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,295 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/803.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,295 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/48.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,295 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/87.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,295 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/20.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,296 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/945.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,296 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/524.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,296 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/55.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,296 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/600.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,296 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/554.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,297 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/368.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,297 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/5.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,297 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-01-19 02:41:11,297 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu/powerpc.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-01-19 02:41:11,297 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu/fpu.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-01-19 02:41:11,298 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-01-19 02:41:11,298 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/fpu/head.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/fpu 2024-01-19 02:41:11,298 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/678.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,298 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/97.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,299 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/205.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,299 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/211.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,299 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/230.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,299 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/257.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,300 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/821.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,300 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/884.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,300 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/812.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,301 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/276.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,301 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/374.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,301 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/619.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,301 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/694.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,302 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/948.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,302 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/472.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,302 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/759.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,302 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/229.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,303 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/252.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,303 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/715.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,303 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/656.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,304 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/141.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,304 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/745.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,304 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/628.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,304 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/298.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,305 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/537.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,305 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/853.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,305 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/535.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,305 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_illegal.console_out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,305 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/91.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,306 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/532.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,306 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/767.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,306 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/801.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,306 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/486.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,307 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/1000.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,307 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/45.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,307 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/601.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,308 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/9.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,308 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/634.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,308 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/749.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,308 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/963.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,309 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/433.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,309 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/185.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,309 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/650.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,310 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/761.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,310 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/545.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,310 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/795.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,311 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/900.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,311 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/679.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,311 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/199.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,311 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/310.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,312 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/100.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,312 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/834.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,312 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/840.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,312 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/683.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,313 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/210.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,313 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/11.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,313 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/1.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,313 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/192.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,314 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/517.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,314 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/966.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,314 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/151.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,314 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/42.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,315 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/972.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,315 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_pmu.metavalue -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,315 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/929.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,316 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/288.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,316 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/766.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,316 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/448.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,316 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/557.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,317 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/469.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,317 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/435.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,317 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/56.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,318 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/109.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,318 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/981.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,318 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/602.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,319 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/329.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,319 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/787.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,319 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/773.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,319 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/639.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,320 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/976.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,320 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/589.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,320 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/610.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,321 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/189.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,321 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/146.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,321 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/229.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,321 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/613.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,321 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/772.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,322 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/889.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,322 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/894.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,322 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/295.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,322 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/770.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,323 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/614.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,323 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/636.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,323 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/999.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,323 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/808.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,324 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/720.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,324 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/399.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,324 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/822.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,324 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/829.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,325 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/123.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,325 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/433.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,325 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/854.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,325 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/246.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,325 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/388.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,326 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/277.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,326 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/115.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,327 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/588.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,327 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/255.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,327 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/143.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,327 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/356.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,328 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/366.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,328 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/957.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,328 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/21.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,328 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/292.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,329 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/219.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,329 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/309.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,329 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-01-19 02:41:11,329 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read/powerpc.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-01-19 02:41:11,330 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read/spr_read.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-01-19 02:41:11,330 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-01-19 02:41:11,330 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/spr_read/head.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/spr_read 2024-01-19 02:41:11,330 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/751.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,330 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/794.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,331 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/8.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,331 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/494.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,331 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/489.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,332 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/598.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,332 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/114.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,332 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/54.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,332 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/198.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,333 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/479.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,333 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/161.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,333 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/80.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,333 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/466.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,334 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/470.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,334 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/44.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,334 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/93.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,334 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/847.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,335 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/900.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,335 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/320.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,335 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/699.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,335 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/344.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,336 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/714.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,336 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/47.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,336 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/321.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,336 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/677.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,337 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/559.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,337 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/958.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,337 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/272.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,337 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/854.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,337 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/289.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,338 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/911.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,338 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/291.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,338 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/250.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,339 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/575.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,339 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/734.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,339 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/594.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,340 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/554.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,340 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/180.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,340 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/258.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,340 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/868.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,341 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/346.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,341 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/955.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,341 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/856.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,342 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/145.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,342 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/249.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,342 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/468.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,342 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_mmu.console_out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,343 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/409.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,343 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/270.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,343 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/723.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,343 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/31.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,344 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/340.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,344 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/840.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,344 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/550.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,344 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/749.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,345 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/215.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,345 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/614.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,346 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/450.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,346 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/560.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,346 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/15.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,346 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/122.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,347 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/910.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,347 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/365.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,347 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/736.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,348 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/629.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,348 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/228.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,349 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/917.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,349 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/849.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,349 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/589.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,350 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/101.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,350 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/41.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,350 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/580.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,351 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/886.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,351 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/781.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,351 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/451.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,351 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/45.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,351 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/969.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,352 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/202.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,352 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/244.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,352 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_illegal.metavalue -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,352 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/943.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,353 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/899.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,353 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/340.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,353 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/288.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,354 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/996.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,354 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/92.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,354 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/532.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,355 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/334.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,355 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/618.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,355 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/473.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,355 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/567.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,356 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/744.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,356 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/777.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,356 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/474.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,357 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/974.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,357 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/681.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,357 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/625.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,358 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/570.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,358 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/843.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,358 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/23.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,359 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/685.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,359 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/280.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,359 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/437.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,359 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/113.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,359 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/106.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,360 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/453.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,360 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_xics.console_out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,360 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/299.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,360 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/576.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,361 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/89.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,361 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/977.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,361 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/654.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,362 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/19.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,362 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/318.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,362 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/885.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,362 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/417.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,362 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/180.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,363 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/478.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,363 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-01-19 02:41:11,363 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer/powerpc.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-01-19 02:41:11,363 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer/decrementer.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-01-19 02:41:11,364 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-01-19 02:41:11,364 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/decrementer/head.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/decrementer 2024-01-19 02:41:11,364 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/289.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,364 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/631.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,365 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/373.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,365 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/335.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,365 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/95.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,365 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/436.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,366 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/238.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,366 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/57.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,366 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/823.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,366 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/516.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,367 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/660.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,367 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/48.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,367 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/778.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,368 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/215.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,368 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/102.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,368 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/788.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,369 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/875.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,369 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/372.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,369 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/647.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,370 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/674.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,370 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/891.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,370 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/704.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,370 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/61.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,371 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/194.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,371 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/368.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,371 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/287.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,371 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/823.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,371 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/317.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,372 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/472.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,372 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/873.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,372 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/790.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,373 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/467.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,373 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/668.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,373 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/564.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,374 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/494.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,374 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/578.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,374 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/173.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,375 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/333.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,375 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/783.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,375 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/718.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,375 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/669.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,376 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/713.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,376 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/627.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,376 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/484.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,376 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/190.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,377 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/393.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,377 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/154.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,377 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/328.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,378 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/258.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,378 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/809.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,378 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/848.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,379 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/927.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,379 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/378.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,379 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/56.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,379 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/138.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,379 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/797.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,380 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/195.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,380 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/491.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,380 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/update_console_tests -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,381 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/564.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,381 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/498.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,381 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/263.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,382 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/653.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,382 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/874.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,382 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/428.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,382 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/405.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,383 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/922.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,383 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_spr_read.console_out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,383 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/947.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,384 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/762.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,384 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_modes.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,384 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/948.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,385 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/339.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,385 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/373.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,385 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/7.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,385 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/872.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,386 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/827.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,386 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/558.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,386 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/935.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,387 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/837.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,387 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/342.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,387 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/214.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,388 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/678.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,388 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/267.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,388 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/811.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,388 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/296.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,389 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/622.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,389 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/449.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,389 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/408.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,389 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/979.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,390 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/38.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,390 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/misc 2024-01-19 02:41:11,390 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/misc/misc.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/misc 2024-01-19 02:41:11,390 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/misc/powerpc.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/misc 2024-01-19 02:41:11,390 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/misc/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/misc 2024-01-19 02:41:11,391 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/misc/head.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/misc 2024-01-19 02:41:11,391 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/149.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,391 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/789.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,392 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/960.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,392 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/979.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,392 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/836.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,392 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/805.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,392 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/38.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,393 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/735.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,393 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/398.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,393 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/349.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,393 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/850.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,394 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/58.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,394 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/657.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,394 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/491.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,394 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/399.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,395 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/613.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,395 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/573.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,395 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/118.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,396 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/716.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,396 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/618.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,396 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/882.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,397 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/663.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,397 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/644.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,397 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_xics.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,397 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/646.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,398 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/133.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,398 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/577.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,398 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/650.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,398 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/281.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,398 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/511.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,399 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/841.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,399 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/769.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,399 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/10.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,400 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/879.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,400 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/182.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,400 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/396.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,401 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/283.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,401 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/531.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,402 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/528.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,402 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/509.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,402 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/253.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,402 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/420.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,402 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/354.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,403 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/565.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,403 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/817.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,403 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/135.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,404 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/166.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,404 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/531.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,404 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/879.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,405 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/88.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,405 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/514.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,405 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/452.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,405 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/771.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,405 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/297.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,406 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/222.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,406 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/86.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,406 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/360.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,407 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/978.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,407 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/698.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,407 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/488.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,407 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/265.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,408 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/246.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,408 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/695.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,408 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/125.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,408 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/950.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,409 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/216.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,409 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/262.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,409 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/370.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,410 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_decrementer.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,410 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/409.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,410 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/645.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,411 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/251.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,411 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/112.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,411 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/793.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,411 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/915.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,412 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/549.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,412 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/382.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,412 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/540.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,413 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/790.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,413 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/188.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,413 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/319.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,413 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/570.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,414 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/457.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,414 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/635.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,414 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/446.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,415 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/285.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,415 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/682.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,415 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/740.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,415 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/828.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,416 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/133.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,416 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_modes.metavalue -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,416 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/730.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,417 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/497.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,417 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/281.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,417 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/33.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,417 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/649.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,418 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/441.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,418 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/326.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,418 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/901.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,419 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/942.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,419 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/528.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,419 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/527.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,419 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/612.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,419 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/529.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,420 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/982.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,420 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-01-19 02:41:11,420 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu/pmu.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-01-19 02:41:11,420 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu/powerpc.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-01-19 02:41:11,421 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-01-19 02:41:11,421 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/pmu/head.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/pmu 2024-01-19 02:41:11,421 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/332.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,421 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/461.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,422 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/177.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,422 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/162.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,422 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/27.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,422 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/935.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,423 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/364.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,423 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/166.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,423 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/293.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,424 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/487.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,424 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/323.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,424 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/470.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,424 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/628.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,425 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/370.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,425 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/177.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,425 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/906.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,426 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/902.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,426 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/757.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,426 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/887.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,426 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/347.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,427 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/667.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,427 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/805.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,427 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/145.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,427 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/397.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,428 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/24.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,428 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/566.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,428 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/81.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,429 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/686.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,429 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/173.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,429 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/572.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,429 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/839.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,430 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/847.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,430 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/43.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,430 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/268.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,431 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/733.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,431 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/806.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,431 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/516.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,432 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/157.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,432 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/659.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,432 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/786.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,432 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/860.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,433 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/17.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,433 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/892.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,433 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/862.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,433 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/402.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,434 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/396.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,434 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/419.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,434 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/756.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,434 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/801.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,434 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/247.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,435 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/460.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,435 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/751.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,435 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/974.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,435 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/227.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,436 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/602.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,436 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/36.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,436 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/688.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,436 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/334.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,436 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/947.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,437 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/375.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,437 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/638.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,437 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/993.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,437 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/832.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,438 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/218.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,438 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/301.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,438 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/420.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,439 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/321.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,439 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/448.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,439 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/424.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,440 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/786.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,440 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/412.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,440 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/432.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,440 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/181.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,441 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/800.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,441 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/362.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,441 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/590.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,441 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/358.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,442 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/171.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,442 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/541.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,442 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/207.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,443 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/401.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,443 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/893.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,443 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/817.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,444 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/782.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,444 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/844.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,444 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/934.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,444 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/94.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,445 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/867.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,445 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/539.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,445 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/508.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,445 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/469.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,446 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/526.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,446 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/692.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,446 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/422.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,446 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/676.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,447 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/659.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,447 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/88.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,447 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/940.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,448 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/214.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,448 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/311.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,448 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/199.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,449 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/354.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,449 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/623.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,449 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/946.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,449 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/849.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,449 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/992.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,450 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/151.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,450 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/226.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,450 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/861.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,450 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/689.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,451 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/552.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,451 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/206.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,451 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/813.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,452 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/495.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,452 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/980.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,452 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/250.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,453 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/594.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,453 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/483.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,453 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/271.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,453 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/140.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,454 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/931.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,454 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/sc 2024-01-19 02:41:11,454 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/sc/powerpc.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/sc 2024-01-19 02:41:11,455 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/sc/sc.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/sc 2024-01-19 02:41:11,455 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/sc/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/sc 2024-01-19 02:41:11,455 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/sc/head.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests/sc 2024-01-19 02:41:11,455 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/460.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,455 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/364.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,455 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/99.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,456 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/714.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,456 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/341.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,456 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/201.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,457 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/163.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,457 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/603.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,457 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/871.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,457 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/43.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,458 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/855.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,458 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/140.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,458 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/798.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,458 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/174.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,459 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/676.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,459 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/387.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,459 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/55.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,460 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/test_misc.metavalue -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,460 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/785.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,460 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/553.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,460 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/546.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,461 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/233.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,461 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/186.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,461 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/693.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,462 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/359.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,462 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/96.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,462 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/664.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,463 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/837.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,463 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/482.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,463 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/410.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,463 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/921.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,464 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/84.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,464 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/264.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,464 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/46.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,465 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/809.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,465 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/868.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,465 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/793.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,465 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/112.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,466 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/221.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,466 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/962.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,466 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/76.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,467 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/458.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,467 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/12.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,467 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/167.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,468 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/272.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,468 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/15.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,468 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/325.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,468 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/36.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,469 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/909.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,469 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/310.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,469 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/tests/8.out -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/tests 2024-01-19 02:41:11,470 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/plrufn.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,470 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/xilinx-mult-32s.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,470 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_vhpi_c.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,470 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/divider.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,470 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/include 2024-01-19 02:41:11,471 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/include/microwatt_soc.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/include 2024-01-19 02:41:11,471 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/include/console.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/include 2024-01-19 02:41:11,471 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/include/io.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/include 2024-01-19 02:41:11,471 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/multiply_tb.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,471 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/divider_tb.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,472 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/insn_helpers.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,472 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/LICENSE -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,472 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/xics.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,472 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,473 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a100t.bit -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,474 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-25F.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,474 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/flash-arty -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,474 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/arty.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,475 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5U-45F.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,475 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/xilinx-xc7_openocd_v0.11.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,475 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5U-25F.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,475 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/olimex-arm-usb-tiny-h.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,476 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-25F.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,476 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a200t.bit -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,478 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5U-85F.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,478 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/xilinx-xc7.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,478 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/genesys2.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,478 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/ecp5-evn.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,479 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a35t.bit -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,479 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-85F.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,479 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-85F.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,480 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/jtagspi.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,480 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a35t_openocd_v0.11.bit -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,480 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7k325t_openocd_v0.11.bit -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,482 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/README -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,482 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-45F.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,482 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/antmicro-artix-dc-scm.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,483 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a100t_openocd_v0.11.bit -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,483 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-45F.cfg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,484 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a200t_openocd_v0.11.bit -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/openocd 2024-01-19 02:41:11,485 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/predecode.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,485 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/utils.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,486 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,486 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/liteeth 2024-01-19 02:41:11,486 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/fusesoc-add-files.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/liteeth 2024-01-19 02:41:11,486 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/liteeth.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/liteeth 2024-01-19 02:41:11,486 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/generated 2024-01-19 02:41:11,487 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/generated/arty 2024-01-19 02:41:11,487 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/arty/liteeth_core.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/generated/arty 2024-01-19 02:41:11,487 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/generated/wukong-v2 2024-01-19 02:41:11,488 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/wukong-v2/liteeth_core.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/generated/wukong-v2 2024-01-19 02:41:11,488 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/generated/nexys-video 2024-01-19 02:41:11,488 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/generated/nexys-video/liteeth_core.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/generated/nexys-video 2024-01-19 02:41:11,489 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-01-19 02:41:11,489 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src/wukong-v2.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-01-19 02:41:11,489 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src/nexys-video.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-01-19 02:41:11,489 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src/generate.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-01-19 02:41:11,489 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/liteeth/gen-src/arty.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/liteeth/gen-src 2024-01-19 02:41:11,490 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_console.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,490 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/syscon.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,490 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/dmi_dtm_xilinx.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,490 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/countbits.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,491 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/dmi_dtm_tb.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,491 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/countbits_tb.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,491 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_jtag_socket_c.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,491 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_bram_helpers_c.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,491 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/logical.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,492 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/foreign_random.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,492 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/glibc_random_helpers.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,492 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/wishbone_bram_wrapper.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,492 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram 2024-01-19 02:41:11,492 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 02:41:11,492 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/sim_dram_verilate.mk -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 02:41:11,493 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/fusesoc-add-files.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 02:41:11,493 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/wave_tb.gtkw -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 02:41:11,493 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/litedram-wrapper-l2.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 02:41:11,493 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/wave.gtkw -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 02:41:11,494 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/sim_litedram_c.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 02:41:11,494 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/wave.opt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 02:41:11,494 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/extras/sim_litedram.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/extras 2024-01-19 02:41:11,494 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated 2024-01-19 02:41:11,494 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-01-19 02:41:11,495 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram_core.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-01-19 02:41:11,495 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram_core.init -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-01-19 02:41:11,496 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram-initmem.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/arty 2024-01-19 02:41:11,496 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-01-19 02:41:11,496 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram_core.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-01-19 02:41:11,497 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram_core.init -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-01-19 02:41:11,497 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram-initmem.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2 2024-01-19 02:41:11,498 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-01-19 02:41:11,498 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram_core.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-01-19 02:41:11,499 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram_core.init -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-01-19 02:41:11,499 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram-initmem.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2 2024-01-19 02:41:11,499 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-01-19 02:41:11,500 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram_core.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-01-19 02:41:11,501 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram_core.init -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-01-19 02:41:11,501 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram-initmem.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2 2024-01-19 02:41:11,501 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-01-19 02:41:11,501 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram_core.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-01-19 02:41:11,503 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram_core.init -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-01-19 02:41:11,503 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram-initmem.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215 2024-01-19 02:41:11,503 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-01-19 02:41:11,503 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram_core.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-01-19 02:41:11,504 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram_core.init -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-01-19 02:41:11,504 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram-initmem.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video 2024-01-19 02:41:11,505 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-01-19 02:41:11,505 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram_core.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-01-19 02:41:11,506 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram_core.init -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-01-19 02:41:11,506 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram-initmem.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/generated/sim 2024-01-19 02:41:11,507 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 02:41:11,507 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/no-init-mem.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 02:41:11,507 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/wukong-v2.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 02:41:11,507 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/nexys-video.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 02:41:11,507 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/orangecrab-85-0.2.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 02:41:11,507 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/dram-init-mem.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 02:41:11,508 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/generate.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 02:41:11,508 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 02:41:11,508 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/bin2hex.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 02:41:11,508 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc 2024-01-19 02:41:11,508 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,509 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memcpy.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,509 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncmp.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,509 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isdigit.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,509 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memmove.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,509 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isprint.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,509 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcat.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,510 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strlen.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,510 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strrchr.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,510 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/vsnprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,510 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtoul.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,510 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtok.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,511 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtol.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,511 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isspace.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,511 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strchr.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,511 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcmp.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,511 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isxdigit.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,512 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memcmp.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,512 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcpy.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,512 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncasecmp.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,512 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/tolower.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,512 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/toupper.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,513 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memchr.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,513 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncpy.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,513 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memset.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,513 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcasecmp.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,513 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strstr.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src 2024-01-19 02:41:11,513 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 02:41:11,514 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/unistd.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 02:41:11,514 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/assert.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 02:41:11,514 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/compiler.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 02:41:11,514 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdint.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 02:41:11,514 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdlib.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 02:41:11,515 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdio.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 02:41:11,515 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/string.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 02:41:11,515 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/errno.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 02:41:11,515 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/ctype.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 02:41:11,515 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/limits.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include 2024-01-19 02:41:11,516 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-01-19 02:41:11,516 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/elf64.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-01-19 02:41:11,516 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/elf_common.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-01-19 02:41:11,516 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/system.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include 2024-01-19 02:41:11,516 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/sdram_init.lds.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 02:41:11,517 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/main.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 02:41:11,517 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 02:41:11,517 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/head.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init 2024-01-19 02:41:11,517 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/arty.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 02:41:11,517 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/acorn-cle-215.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 02:41:11,518 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sim.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 02:41:11,518 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/gen-src/genesys2.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram/gen-src 2024-01-19 02:41:11,518 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litedram/litedram.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litedram 2024-01-19 02:41:11,518 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/xilinx-mult.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,518 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 02:41:11,519 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/console.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 02:41:11,519 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 02:41:11,519 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo/src 2024-01-19 02:41:11,519 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/src/lib.rs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo/src 2024-01-19 02:41:11,519 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/Cargo.toml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 02:41:11,519 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo/.cargo 2024-01-19 02:41:11,520 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/.cargo/config -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo/.cargo 2024-01-19 02:41:11,520 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/powerpc.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 02:41:11,520 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/hello_world.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 02:41:11,520 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/console.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 02:41:11,520 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 02:41:11,520 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/Xargo.toml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 02:41:11,521 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rust_lib_demo/head.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/rust_lib_demo 2024-01-19 02:41:11,521 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/execute1.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,521 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/plru_tb.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,521 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/writeback.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,522 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/soc.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,522 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/fpu.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,522 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/gpio.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,522 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/ppc_fx_insns.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,523 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/icache_test.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,523 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/run.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,523 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-01-19 02:41:11,523 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim-unisim/BUFG.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-01-19 02:41:11,523 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim-unisim/unisim_vcomponents.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-01-19 02:41:11,524 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim-unisim/BSCANE2.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/sim-unisim 2024-01-19 02:41:11,524 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_pp_uart.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,524 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_no_flash.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,524 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_bram.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,524 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard 2024-01-19 02:41:11,525 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/litesdcard.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard 2024-01-19 02:41:11,525 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/fusesoc-add-files.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard 2024-01-19 02:41:11,525 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard/generated 2024-01-19 02:41:11,525 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard/generated/xilinx 2024-01-19 02:41:11,525 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/generated/xilinx/litesdcard_core.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard/generated/xilinx 2024-01-19 02:41:11,526 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard/generated/lattice 2024-01-19 02:41:11,526 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/generated/lattice/litesdcard_core.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard/generated/lattice 2024-01-19 02:41:11,526 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard/gen-src 2024-01-19 02:41:11,527 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/litesdcard/gen-src/generate.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/litesdcard/gen-src 2024-01-19 02:41:11,527 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_16550_uart.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,527 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/micropython 2024-01-19 02:41:11,527 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/micropython/firmware.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/micropython 2024-01-19 02:41:11,528 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/micropython/firmware.hex -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/micropython 2024-01-19 02:41:11,528 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/micropython/firmware.elf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/micropython 2024-01-19 02:41:11,532 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/mmu.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,532 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_console_c.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,532 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/random.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,532 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/wishbone_bram_tb.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,533 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_bram_helpers.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,533 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/dmi_dtm_dummy.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,533 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_jtag_socket.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,533 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/multiply.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,533 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/core.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,534 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/rotator.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,534 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:11,534 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_transmitter.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:11,534 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_receiver.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:11,535 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_wb.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:11,535 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart16550.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:11,535 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_sync_flops.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:11,535 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_top.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:11,536 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_regs.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:11,536 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_tfifo.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:11,536 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_defines.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:11,536 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/raminfr.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:11,537 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/uart16550/uart_rfifo.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/uart16550 2024-01-19 02:41:11,537 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/crhelpers.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,537 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/core_debug.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,537 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/decode1.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,538 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:11,538 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/dependencies.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:11,538 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/bin2hex.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:11,538 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/scripts/fmt_log 2024-01-19 02:41:11,538 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/fmt_log/fmt_log.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/scripts/fmt_log 2024-01-19 02:41:11,539 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/fmt_log/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/scripts/fmt_log 2024-01-19 02:41:11,539 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/test_micropython_long.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:11,539 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/test_micropython.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:11,539 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/gen_icache_tb.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:11,539 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/test_micropython_verilator_long.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:11,540 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/run_test_console.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:11,540 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/make_version_fusesoc.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:11,540 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/make_version.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:11,540 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/run_test.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:11,540 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/test_micropython_verilator.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:11,541 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-01-19 02:41:11,541 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/mw_debug/mw_debug.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-01-19 02:41:11,541 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/mw_debug/README -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-01-19 02:41:11,541 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/mw_debug/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/scripts/mw_debug 2024-01-19 02:41:11,541 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/scripts/vhdltags -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/scripts 2024-01-19 02:41:11,541 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/microwatt.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,542 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/control.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,542 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/wishbone_arbiter.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,542 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/multiply-32s.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,542 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/icache_tb.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,542 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/common.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,543 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/core_tb.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,543 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/loadstore1.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,543 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/nonrandom.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,543 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/sim_vhpi_c.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,544 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 02:41:11,544 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/hello_world/hello_world.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 02:41:11,544 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/hello_world/hello_world.elf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 02:41:11,544 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/hello_world/powerpc.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 02:41:11,544 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/hello_world/hello_world.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 02:41:11,545 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/hello_world/hello_world.hex -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 02:41:11,545 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/hello_world/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 02:41:11,545 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/hello_world/head.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/hello_world 2024-01-19 02:41:11,545 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/wishbone_debug_master.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,545 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/helpers.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,546 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/verilator 2024-01-19 02:41:11,546 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/verilator/uart-verilator.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/verilator 2024-01-19 02:41:11,546 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/verilator/microwatt-verilator.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/verilator 2024-01-19 02:41:11,546 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/core_dram_tb.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,546 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/register_file.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,547 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/cache_ram.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,547 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/spi_flash_ctrl.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,547 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,547 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/wishbone_bram_tb.bin -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,547 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/dcache.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,548 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/media 2024-01-19 02:41:11,548 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/media/microwatt-title.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/media 2024-01-19 02:41:11,548 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/cr_file.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,548 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/dram_tb.vhdl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl 2024-01-19 02:41:11,549 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/lib 2024-01-19 02:41:11,549 root INFO copying build/lib/pythondata_cpu_microwatt/vhdl/lib/console.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt/vhdl/lib 2024-01-19 02:41:11,549 root INFO copying build/lib/pythondata_cpu_microwatt/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt 2024-01-19 02:41:11,549 root INFO running install_egg_info 2024-01-19 02:41:11,553 root INFO Copying pythondata_cpu_microwatt.egg-info to build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt-0.0.post1409-py3.11.egg-info 2024-01-19 02:41:11,554 root INFO running install_scripts 2024-01-19 02:41:11,556 wheel INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_microwatt-0.0.post1409.dist-info/WHEEL 2024-01-19 02:41:11,557 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/pythondata-cpu-microwatt-2023.12/dist/.tmp-_pye9mu7/pythondata_cpu_microwatt-0.0.post1409-py3-none-any.whl' and adding 'build/bdist.linux-x86_64/wheel' to it 2024-01-19 02:41:11,557 wheel INFO adding 'pythondata_cpu_microwatt/__init__.py' 2024-01-19 02:41:11,558 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/.gitignore' 2024-01-19 02:41:11,558 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/LICENSE' 2024-01-19 02:41:11,558 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/Makefile' 2024-01-19 02:41:11,558 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/README.md' 2024-01-19 02:41:11,559 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/cache_ram.vhdl' 2024-01-19 02:41:11,559 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/common.vhdl' 2024-01-19 02:41:11,559 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/control.vhdl' 2024-01-19 02:41:11,560 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/core.vhdl' 2024-01-19 02:41:11,560 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/core_debug.vhdl' 2024-01-19 02:41:11,560 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/core_dram_tb.vhdl' 2024-01-19 02:41:11,560 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/core_flash_tb.vhdl' 2024-01-19 02:41:11,561 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/core_tb.vhdl' 2024-01-19 02:41:11,561 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/countbits.vhdl' 2024-01-19 02:41:11,561 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/countbits_tb.vhdl' 2024-01-19 02:41:11,561 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/cr_file.vhdl' 2024-01-19 02:41:11,562 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/crhelpers.vhdl' 2024-01-19 02:41:11,562 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/dcache.vhdl' 2024-01-19 02:41:11,562 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/dcache_tb.vhdl' 2024-01-19 02:41:11,563 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/decode1.vhdl' 2024-01-19 02:41:11,563 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/decode2.vhdl' 2024-01-19 02:41:11,564 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/decode_types.vhdl' 2024-01-19 02:41:11,564 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/divider.vhdl' 2024-01-19 02:41:11,564 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/divider_tb.vhdl' 2024-01-19 02:41:11,565 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/dmi_dtm_dummy.vhdl' 2024-01-19 02:41:11,565 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/dmi_dtm_ecp5.vhdl' 2024-01-19 02:41:11,565 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/dmi_dtm_tb.vhdl' 2024-01-19 02:41:11,565 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/dmi_dtm_xilinx.vhdl' 2024-01-19 02:41:11,566 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/dram_tb.vhdl' 2024-01-19 02:41:11,566 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/execute1.vhdl' 2024-01-19 02:41:11,567 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fetch1.vhdl' 2024-01-19 02:41:11,567 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/foreign_random.vhdl' 2024-01-19 02:41:11,567 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpu.vhdl' 2024-01-19 02:41:11,568 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/git.vhdl.in' 2024-01-19 02:41:11,568 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/glibc_random.vhdl' 2024-01-19 02:41:11,569 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/glibc_random_helpers.vhdl' 2024-01-19 02:41:11,569 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/gpio.vhdl' 2024-01-19 02:41:11,569 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/helpers.vhdl' 2024-01-19 02:41:11,569 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/icache.vhdl' 2024-01-19 02:41:11,570 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/icache_tb.vhdl' 2024-01-19 02:41:11,570 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/icache_test.bin' 2024-01-19 02:41:11,570 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/insn_helpers.vhdl' 2024-01-19 02:41:11,571 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/loadstore1.vhdl' 2024-01-19 02:41:11,571 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/logical.vhdl' 2024-01-19 02:41:11,571 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/microwatt.core' 2024-01-19 02:41:11,572 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/mmu.vhdl' 2024-01-19 02:41:11,572 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/multiply-32s.vhdl' 2024-01-19 02:41:11,572 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/multiply.vhdl' 2024-01-19 02:41:11,572 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/multiply_tb.vhdl' 2024-01-19 02:41:11,573 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/nonrandom.vhdl' 2024-01-19 02:41:11,573 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/plru_tb.vhdl' 2024-01-19 02:41:11,573 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/plrufn.vhdl' 2024-01-19 02:41:11,573 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/pmu.vhdl' 2024-01-19 02:41:11,574 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/ppc_fx_insns.vhdl' 2024-01-19 02:41:11,574 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/predecode.vhdl' 2024-01-19 02:41:11,574 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/random.vhdl' 2024-01-19 02:41:11,575 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/register_file.vhdl' 2024-01-19 02:41:11,575 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rotator.vhdl' 2024-01-19 02:41:11,575 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rotator_tb.vhdl' 2024-01-19 02:41:11,575 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/run.py' 2024-01-19 02:41:11,576 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_16550_uart.vhdl' 2024-01-19 02:41:11,576 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_bram.vhdl' 2024-01-19 02:41:11,576 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_bram_helpers.vhdl' 2024-01-19 02:41:11,576 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_bram_helpers_c.c' 2024-01-19 02:41:11,577 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_console.vhdl' 2024-01-19 02:41:11,577 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_console_c.c' 2024-01-19 02:41:11,577 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_jtag.vhdl' 2024-01-19 02:41:11,577 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_jtag_socket.vhdl' 2024-01-19 02:41:11,577 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_jtag_socket_c.c' 2024-01-19 02:41:11,578 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_no_flash.vhdl' 2024-01-19 02:41:11,578 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_pp_uart.vhdl' 2024-01-19 02:41:11,578 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_vhpi_c.c' 2024-01-19 02:41:11,578 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim_vhpi_c.h' 2024-01-19 02:41:11,579 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/soc.vhdl' 2024-01-19 02:41:11,579 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/spi_flash_ctrl.vhdl' 2024-01-19 02:41:11,579 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/spi_rxtx.vhdl' 2024-01-19 02:41:11,580 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sync_fifo.vhdl' 2024-01-19 02:41:11,580 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/syscon.vhdl' 2024-01-19 02:41:11,580 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/utils.vhdl' 2024-01-19 02:41:11,580 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/wishbone_arbiter.vhdl' 2024-01-19 02:41:11,580 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/wishbone_bram_tb.bin' 2024-01-19 02:41:11,581 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/wishbone_bram_tb.vhdl' 2024-01-19 02:41:11,581 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/wishbone_bram_wrapper.vhdl' 2024-01-19 02:41:11,581 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/wishbone_debug_master.vhdl' 2024-01-19 02:41:11,581 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/wishbone_types.vhdl' 2024-01-19 02:41:11,582 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/writeback.vhdl' 2024-01-19 02:41:11,582 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/xics.vhdl' 2024-01-19 02:41:11,582 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/xilinx-mult-32s.vhdl' 2024-01-19 02:41:11,583 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/xilinx-mult.vhdl' 2024-01-19 02:41:11,583 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/.github/workflows/test.yml' 2024-01-19 02:41:11,583 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/constraints/ecp5-evn.lpf' 2024-01-19 02:41:11,583 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/constraints/orange-crab-0.2.lpf' 2024-01-19 02:41:11,584 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/constraints/orange-crab.lpf' 2024-01-19 02:41:11,584 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/LICENSE' 2024-01-19 02:41:11,584 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/acorn-cle-215.xdc' 2024-01-19 02:41:11,585 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/antmicro_artix_dc_scm.xdc' 2024-01-19 02:41:11,585 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/arty_a7.xdc' 2024-01-19 02:41:11,585 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/clk_gen_bypass.vhd' 2024-01-19 02:41:11,585 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/clk_gen_ecp5.vhd' 2024-01-19 02:41:11,586 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/clk_gen_mcmm.vhd' 2024-01-19 02:41:11,586 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/clk_gen_plle2.vhd' 2024-01-19 02:41:11,586 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/cmod_a7-35.xdc' 2024-01-19 02:41:11,588 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/firmware.hex' 2024-01-19 02:41:11,591 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/fpga-random.vhdl' 2024-01-19 02:41:11,591 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/fpga-random.xdc' 2024-01-19 02:41:11,591 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/genesys2.xdc' 2024-01-19 02:41:11,592 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/hello_world.hex' 2024-01-19 02:41:11,592 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/main_bram.vhdl' 2024-01-19 02:41:11,592 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/nexys-video.xdc' 2024-01-19 02:41:11,592 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/nexys_a7.xdc' 2024-01-19 02:41:11,593 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/pp_fifo.vhd' 2024-01-19 02:41:11,593 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/pp_soc_uart.vhd' 2024-01-19 02:41:11,593 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/pp_utilities.vhd' 2024-01-19 02:41:11,593 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/soc_reset.vhdl' 2024-01-19 02:41:11,594 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/soc_reset_tb.vhdl' 2024-01-19 02:41:11,594 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-acorn-cle-215.vhdl' 2024-01-19 02:41:11,594 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-antmicro-artix-dc-scm.vhdl' 2024-01-19 02:41:11,594 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-arty.vhdl' 2024-01-19 02:41:11,595 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-generic.vhdl' 2024-01-19 02:41:11,595 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-genesys2.vhdl' 2024-01-19 02:41:11,595 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-nexys-video.vhdl' 2024-01-19 02:41:11,596 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-orangecrab0.2.vhdl' 2024-01-19 02:41:11,596 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/top-wukong-v2.vhdl' 2024-01-19 02:41:11,596 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/fpga/wukong-v2.xdc' 2024-01-19 02:41:11,597 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/hello_world/Makefile' 2024-01-19 02:41:11,597 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/hello_world/head.S' 2024-01-19 02:41:11,597 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/hello_world/hello_world.bin' 2024-01-19 02:41:11,597 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/hello_world/hello_world.c' 2024-01-19 02:41:11,598 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/hello_world/hello_world.elf' 2024-01-19 02:41:11,599 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/hello_world/hello_world.hex' 2024-01-19 02:41:11,599 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/hello_world/powerpc.lds' 2024-01-19 02:41:11,599 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/include/console.h' 2024-01-19 02:41:11,599 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/include/io.h' 2024-01-19 02:41:11,600 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/include/microwatt_soc.h' 2024-01-19 02:41:11,600 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/lib/console.c' 2024-01-19 02:41:11,600 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/litedram.core' 2024-01-19 02:41:11,600 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/fusesoc-add-files.py' 2024-01-19 02:41:11,601 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/litedram-wrapper-l2.vhdl' 2024-01-19 02:41:11,601 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/sim_dram_verilate.mk' 2024-01-19 02:41:11,601 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/sim_litedram.vhdl' 2024-01-19 02:41:11,602 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/sim_litedram_c.cpp' 2024-01-19 02:41:11,602 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/wave.gtkw' 2024-01-19 02:41:11,602 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/wave.opt' 2024-01-19 02:41:11,602 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/extras/wave_tb.gtkw' 2024-01-19 02:41:11,603 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/acorn-cle-215.yml' 2024-01-19 02:41:11,603 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/arty.yml' 2024-01-19 02:41:11,603 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/dram-init-mem.vhdl' 2024-01-19 02:41:11,603 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/generate.py' 2024-01-19 02:41:11,604 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/genesys2.yml' 2024-01-19 02:41:11,604 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/nexys-video.yml' 2024-01-19 02:41:11,604 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/no-init-mem.vhdl' 2024-01-19 02:41:11,604 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/orangecrab-85-0.2.yml' 2024-01-19 02:41:11,604 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sim.yml' 2024-01-19 02:41:11,605 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/wukong-v2.yml' 2024-01-19 02:41:11,605 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/Makefile' 2024-01-19 02:41:11,605 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/bin2hex.py' 2024-01-19 02:41:11,605 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/head.S' 2024-01-19 02:41:11,606 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/main.c' 2024-01-19 02:41:11,606 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/sdram_init.lds.S' 2024-01-19 02:41:11,606 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/elf64.h' 2024-01-19 02:41:11,606 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/elf_common.h' 2024-01-19 02:41:11,607 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/include/system.h' 2024-01-19 02:41:11,607 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/assert.h' 2024-01-19 02:41:11,607 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/compiler.h' 2024-01-19 02:41:11,607 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/ctype.h' 2024-01-19 02:41:11,608 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/errno.h' 2024-01-19 02:41:11,608 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/limits.h' 2024-01-19 02:41:11,608 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdint.h' 2024-01-19 02:41:11,608 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdio.h' 2024-01-19 02:41:11,608 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/stdlib.h' 2024-01-19 02:41:11,609 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/string.h' 2024-01-19 02:41:11,609 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/include/unistd.h' 2024-01-19 02:41:11,609 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isdigit.c' 2024-01-19 02:41:11,609 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isprint.c' 2024-01-19 02:41:11,610 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isspace.c' 2024-01-19 02:41:11,610 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/isxdigit.c' 2024-01-19 02:41:11,610 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memchr.c' 2024-01-19 02:41:11,610 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memcmp.c' 2024-01-19 02:41:11,610 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memcpy.c' 2024-01-19 02:41:11,611 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memmove.c' 2024-01-19 02:41:11,611 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/memset.c' 2024-01-19 02:41:11,611 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcasecmp.c' 2024-01-19 02:41:11,611 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcat.c' 2024-01-19 02:41:11,611 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strchr.c' 2024-01-19 02:41:11,612 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcmp.c' 2024-01-19 02:41:11,612 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strcpy.c' 2024-01-19 02:41:11,612 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strlen.c' 2024-01-19 02:41:11,612 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncasecmp.c' 2024-01-19 02:41:11,612 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncmp.c' 2024-01-19 02:41:11,613 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strncpy.c' 2024-01-19 02:41:11,613 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strrchr.c' 2024-01-19 02:41:11,613 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strstr.c' 2024-01-19 02:41:11,613 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtok.c' 2024-01-19 02:41:11,613 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtol.c' 2024-01-19 02:41:11,614 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/strtoul.c' 2024-01-19 02:41:11,614 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/tolower.c' 2024-01-19 02:41:11,614 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/toupper.c' 2024-01-19 02:41:11,614 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/libc/src/vsnprintf.c' 2024-01-19 02:41:11,615 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram-initmem.vhdl' 2024-01-19 02:41:11,615 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram_core.init' 2024-01-19 02:41:11,617 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/acorn-cle-215/litedram_core.v' 2024-01-19 02:41:11,619 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram-initmem.vhdl' 2024-01-19 02:41:11,619 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram_core.init' 2024-01-19 02:41:11,621 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/arty/litedram_core.v' 2024-01-19 02:41:11,624 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram-initmem.vhdl' 2024-01-19 02:41:11,624 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram_core.init' 2024-01-19 02:41:11,626 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/genesys2/litedram_core.v' 2024-01-19 02:41:11,629 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram-initmem.vhdl' 2024-01-19 02:41:11,629 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram_core.init' 2024-01-19 02:41:11,631 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/nexys-video/litedram_core.v' 2024-01-19 02:41:11,633 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram-initmem.vhdl' 2024-01-19 02:41:11,634 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram_core.init' 2024-01-19 02:41:11,635 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/orangecrab-85-0.2/litedram_core.v' 2024-01-19 02:41:11,637 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram-initmem.vhdl' 2024-01-19 02:41:11,638 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram_core.init' 2024-01-19 02:41:11,639 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/sim/litedram_core.v' 2024-01-19 02:41:11,642 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram-initmem.vhdl' 2024-01-19 02:41:11,642 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram_core.init' 2024-01-19 02:41:11,644 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litedram/generated/wukong-v2/litedram_core.v' 2024-01-19 02:41:11,646 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/fusesoc-add-files.py' 2024-01-19 02:41:11,647 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/liteeth.core' 2024-01-19 02:41:11,647 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/gen-src/arty.yml' 2024-01-19 02:41:11,647 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/gen-src/generate.sh' 2024-01-19 02:41:11,647 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/gen-src/nexys-video.yml' 2024-01-19 02:41:11,647 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/gen-src/wukong-v2.yml' 2024-01-19 02:41:11,648 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/generated/arty/liteeth_core.v' 2024-01-19 02:41:11,650 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/generated/nexys-video/liteeth_core.v' 2024-01-19 02:41:11,651 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/liteeth/generated/wukong-v2/liteeth_core.v' 2024-01-19 02:41:11,652 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litesdcard/fusesoc-add-files.py' 2024-01-19 02:41:11,652 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litesdcard/litesdcard.core' 2024-01-19 02:41:11,653 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litesdcard/gen-src/generate.sh' 2024-01-19 02:41:11,653 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litesdcard/generated/lattice/litesdcard_core.v' 2024-01-19 02:41:11,655 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/litesdcard/generated/xilinx/litesdcard_core.v' 2024-01-19 02:41:11,656 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/media/microwatt-title.png' 2024-01-19 02:41:11,657 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/micropython/firmware.bin' 2024-01-19 02:41:11,662 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/micropython/firmware.elf' 2024-01-19 02:41:11,672 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/micropython/firmware.hex' 2024-01-19 02:41:11,674 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5U-25F.cfg' 2024-01-19 02:41:11,674 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5U-45F.cfg' 2024-01-19 02:41:11,674 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5U-85F.cfg' 2024-01-19 02:41:11,674 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-25F.cfg' 2024-01-19 02:41:11,675 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-45F.cfg' 2024-01-19 02:41:11,675 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5UM-85F.cfg' 2024-01-19 02:41:11,675 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-25F.cfg' 2024-01-19 02:41:11,675 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-45F.cfg' 2024-01-19 02:41:11,676 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/LFE5UM5G-85F.cfg' 2024-01-19 02:41:11,676 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/README' 2024-01-19 02:41:11,676 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/antmicro-artix-dc-scm.cfg' 2024-01-19 02:41:11,676 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/arty.cfg' 2024-01-19 02:41:11,677 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a100t.bit' 2024-01-19 02:41:11,680 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a100t_openocd_v0.11.bit' 2024-01-19 02:41:11,683 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a200t.bit' 2024-01-19 02:41:11,689 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a200t_openocd_v0.11.bit' 2024-01-19 02:41:11,693 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a35t.bit' 2024-01-19 02:41:11,695 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7a35t_openocd_v0.11.bit' 2024-01-19 02:41:11,699 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/bscan_spi_xc7k325t_openocd_v0.11.bit' 2024-01-19 02:41:11,703 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/ecp5-evn.cfg' 2024-01-19 02:41:11,703 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/flash-arty' 2024-01-19 02:41:11,704 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/genesys2.cfg' 2024-01-19 02:41:11,704 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/jtagspi.cfg' 2024-01-19 02:41:11,704 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/olimex-arm-usb-tiny-h.cfg' 2024-01-19 02:41:11,704 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/xilinx-xc7.cfg' 2024-01-19 02:41:11,704 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/openocd/xilinx-xc7_openocd_v0.11.cfg' 2024-01-19 02:41:11,705 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/Cargo.toml' 2024-01-19 02:41:11,705 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/Makefile' 2024-01-19 02:41:11,705 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/README.md' 2024-01-19 02:41:11,705 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/Xargo.toml' 2024-01-19 02:41:11,705 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/console.c' 2024-01-19 02:41:11,706 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/console.h' 2024-01-19 02:41:11,706 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/head.S' 2024-01-19 02:41:11,706 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/hello_world.c' 2024-01-19 02:41:11,706 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/powerpc.lds' 2024-01-19 02:41:11,707 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/.cargo/config' 2024-01-19 02:41:11,707 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/rust_lib_demo/src/lib.rs' 2024-01-19 02:41:11,707 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/bin2hex.py' 2024-01-19 02:41:11,707 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/dependencies.py' 2024-01-19 02:41:11,707 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/gen_icache_tb.py' 2024-01-19 02:41:11,708 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/make_version.sh' 2024-01-19 02:41:11,708 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/make_version_fusesoc.py' 2024-01-19 02:41:11,708 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/run_test.sh' 2024-01-19 02:41:11,708 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/run_test_console.sh' 2024-01-19 02:41:11,708 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/test_micropython.py' 2024-01-19 02:41:11,709 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/test_micropython_long.py' 2024-01-19 02:41:11,709 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/test_micropython_verilator.py' 2024-01-19 02:41:11,709 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/test_micropython_verilator_long.py' 2024-01-19 02:41:11,709 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/vhdltags' 2024-01-19 02:41:11,709 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/fmt_log/Makefile' 2024-01-19 02:41:11,710 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/fmt_log/fmt_log.c' 2024-01-19 02:41:11,710 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/mw_debug/Makefile' 2024-01-19 02:41:11,710 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/mw_debug/README' 2024-01-19 02:41:11,710 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/scripts/mw_debug/mw_debug.c' 2024-01-19 02:41:11,711 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim-unisim/BSCANE2.vhdl' 2024-01-19 02:41:11,711 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim-unisim/BUFG.vhdl' 2024-01-19 02:41:11,711 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/sim-unisim/unisim_vcomponents.vhdl' 2024-01-19 02:41:11,714 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/1.bin' 2024-01-19 02:41:11,715 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/1.out' 2024-01-19 02:41:11,715 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/10.bin' 2024-01-19 02:41:11,716 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/10.out' 2024-01-19 02:41:11,717 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/100.bin' 2024-01-19 02:41:11,717 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/100.out' 2024-01-19 02:41:11,718 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/1000.bin' 2024-01-19 02:41:11,719 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/1000.out' 2024-01-19 02:41:11,719 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/101.bin' 2024-01-19 02:41:11,720 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/101.out' 2024-01-19 02:41:11,720 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/102.bin' 2024-01-19 02:41:11,721 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/102.out' 2024-01-19 02:41:11,722 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/103.bin' 2024-01-19 02:41:11,722 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/103.out' 2024-01-19 02:41:11,723 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/104.bin' 2024-01-19 02:41:11,724 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/104.out' 2024-01-19 02:41:11,724 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/105.bin' 2024-01-19 02:41:11,725 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/105.out' 2024-01-19 02:41:11,726 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/106.bin' 2024-01-19 02:41:11,726 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/106.out' 2024-01-19 02:41:11,727 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/107.bin' 2024-01-19 02:41:11,728 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/107.out' 2024-01-19 02:41:11,728 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/108.bin' 2024-01-19 02:41:11,729 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/108.out' 2024-01-19 02:41:11,729 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/109.bin' 2024-01-19 02:41:11,730 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/109.out' 2024-01-19 02:41:11,731 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/11.bin' 2024-01-19 02:41:11,731 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/11.out' 2024-01-19 02:41:11,732 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/110.bin' 2024-01-19 02:41:11,732 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/110.out' 2024-01-19 02:41:11,733 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/111.bin' 2024-01-19 02:41:11,734 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/111.out' 2024-01-19 02:41:11,734 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/112.bin' 2024-01-19 02:41:11,735 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/112.out' 2024-01-19 02:41:11,735 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/113.bin' 2024-01-19 02:41:11,736 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/113.out' 2024-01-19 02:41:11,737 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/114.bin' 2024-01-19 02:41:11,737 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/114.out' 2024-01-19 02:41:11,738 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/115.bin' 2024-01-19 02:41:11,739 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/115.out' 2024-01-19 02:41:11,739 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/116.bin' 2024-01-19 02:41:11,740 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/116.out' 2024-01-19 02:41:11,740 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/117.bin' 2024-01-19 02:41:11,741 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/117.out' 2024-01-19 02:41:11,742 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/118.bin' 2024-01-19 02:41:11,742 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/118.out' 2024-01-19 02:41:11,743 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/119.bin' 2024-01-19 02:41:11,744 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/119.out' 2024-01-19 02:41:11,744 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/12.bin' 2024-01-19 02:41:11,745 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/12.out' 2024-01-19 02:41:11,745 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/120.bin' 2024-01-19 02:41:11,746 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/120.out' 2024-01-19 02:41:11,747 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/121.bin' 2024-01-19 02:41:11,747 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/121.out' 2024-01-19 02:41:11,748 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/122.bin' 2024-01-19 02:41:11,749 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/122.out' 2024-01-19 02:41:11,749 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/123.bin' 2024-01-19 02:41:11,750 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/123.out' 2024-01-19 02:41:11,750 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/124.bin' 2024-01-19 02:41:11,751 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/124.out' 2024-01-19 02:41:11,752 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/125.bin' 2024-01-19 02:41:11,752 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/125.out' 2024-01-19 02:41:11,753 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/126.bin' 2024-01-19 02:41:11,754 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/126.out' 2024-01-19 02:41:11,754 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/127.bin' 2024-01-19 02:41:11,755 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/127.out' 2024-01-19 02:41:11,755 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/128.bin' 2024-01-19 02:41:11,756 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/128.out' 2024-01-19 02:41:11,756 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/129.bin' 2024-01-19 02:41:11,757 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/129.out' 2024-01-19 02:41:11,758 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/13.bin' 2024-01-19 02:41:11,758 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/13.out' 2024-01-19 02:41:11,759 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/130.bin' 2024-01-19 02:41:11,760 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/130.out' 2024-01-19 02:41:11,760 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/131.bin' 2024-01-19 02:41:11,761 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/131.out' 2024-01-19 02:41:11,761 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/132.bin' 2024-01-19 02:41:11,762 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/132.out' 2024-01-19 02:41:11,763 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/133.bin' 2024-01-19 02:41:11,763 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/133.out' 2024-01-19 02:41:11,764 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/134.bin' 2024-01-19 02:41:11,765 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/134.out' 2024-01-19 02:41:11,765 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/135.bin' 2024-01-19 02:41:11,766 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/135.out' 2024-01-19 02:41:11,766 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/136.bin' 2024-01-19 02:41:11,767 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/136.out' 2024-01-19 02:41:11,768 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/137.bin' 2024-01-19 02:41:11,768 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/137.out' 2024-01-19 02:41:11,769 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/138.bin' 2024-01-19 02:41:11,770 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/138.out' 2024-01-19 02:41:11,770 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/139.bin' 2024-01-19 02:41:11,771 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/139.out' 2024-01-19 02:41:11,771 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/14.bin' 2024-01-19 02:41:11,772 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/14.out' 2024-01-19 02:41:11,773 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/140.bin' 2024-01-19 02:41:11,773 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/140.out' 2024-01-19 02:41:11,774 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/141.bin' 2024-01-19 02:41:11,774 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/141.out' 2024-01-19 02:41:11,775 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/142.bin' 2024-01-19 02:41:11,776 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/142.out' 2024-01-19 02:41:11,776 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/143.bin' 2024-01-19 02:41:11,777 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/143.out' 2024-01-19 02:41:11,777 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/144.bin' 2024-01-19 02:41:11,778 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/144.out' 2024-01-19 02:41:11,779 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/145.bin' 2024-01-19 02:41:11,779 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/145.out' 2024-01-19 02:41:11,780 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/146.bin' 2024-01-19 02:41:11,781 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/146.out' 2024-01-19 02:41:11,781 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/147.bin' 2024-01-19 02:41:11,782 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/147.out' 2024-01-19 02:41:11,782 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/148.bin' 2024-01-19 02:41:11,783 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/148.out' 2024-01-19 02:41:11,784 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/149.bin' 2024-01-19 02:41:11,784 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/149.out' 2024-01-19 02:41:11,785 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/15.bin' 2024-01-19 02:41:11,786 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/15.out' 2024-01-19 02:41:11,786 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/150.bin' 2024-01-19 02:41:11,787 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/150.out' 2024-01-19 02:41:11,787 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/151.bin' 2024-01-19 02:41:11,788 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/151.out' 2024-01-19 02:41:11,789 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/152.bin' 2024-01-19 02:41:11,789 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/152.out' 2024-01-19 02:41:11,790 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/153.bin' 2024-01-19 02:41:11,790 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/153.out' 2024-01-19 02:41:11,791 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/154.bin' 2024-01-19 02:41:11,792 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/154.out' 2024-01-19 02:41:11,792 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/155.bin' 2024-01-19 02:41:11,793 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/155.out' 2024-01-19 02:41:11,793 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/156.bin' 2024-01-19 02:41:11,794 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/156.out' 2024-01-19 02:41:11,795 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/157.bin' 2024-01-19 02:41:11,795 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/157.out' 2024-01-19 02:41:11,796 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/158.bin' 2024-01-19 02:41:11,797 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/158.out' 2024-01-19 02:41:11,797 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/159.bin' 2024-01-19 02:41:11,798 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/159.out' 2024-01-19 02:41:11,798 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/16.bin' 2024-01-19 02:41:11,799 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/16.out' 2024-01-19 02:41:11,800 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/160.bin' 2024-01-19 02:41:11,800 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/160.out' 2024-01-19 02:41:11,801 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/161.bin' 2024-01-19 02:41:11,802 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/161.out' 2024-01-19 02:41:11,802 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/162.bin' 2024-01-19 02:41:11,803 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/162.out' 2024-01-19 02:41:11,803 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/163.bin' 2024-01-19 02:41:11,804 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/163.out' 2024-01-19 02:41:11,805 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/164.bin' 2024-01-19 02:41:11,805 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/164.out' 2024-01-19 02:41:11,806 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/165.bin' 2024-01-19 02:41:11,807 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/165.out' 2024-01-19 02:41:11,807 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/166.bin' 2024-01-19 02:41:11,808 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/166.out' 2024-01-19 02:41:11,808 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/167.bin' 2024-01-19 02:41:11,809 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/167.out' 2024-01-19 02:41:11,809 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/168.bin' 2024-01-19 02:41:11,810 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/168.out' 2024-01-19 02:41:11,811 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/169.bin' 2024-01-19 02:41:11,811 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/169.out' 2024-01-19 02:41:11,812 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/17.bin' 2024-01-19 02:41:11,813 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/17.out' 2024-01-19 02:41:11,813 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/170.bin' 2024-01-19 02:41:11,814 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/170.out' 2024-01-19 02:41:11,814 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/171.bin' 2024-01-19 02:41:11,815 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/171.out' 2024-01-19 02:41:11,816 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/172.bin' 2024-01-19 02:41:11,816 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/172.out' 2024-01-19 02:41:11,817 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/173.bin' 2024-01-19 02:41:11,818 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/173.out' 2024-01-19 02:41:11,818 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/174.bin' 2024-01-19 02:41:11,819 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/174.out' 2024-01-19 02:41:11,819 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/175.bin' 2024-01-19 02:41:11,820 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/175.out' 2024-01-19 02:41:11,821 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/176.bin' 2024-01-19 02:41:11,821 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/176.out' 2024-01-19 02:41:11,822 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/177.bin' 2024-01-19 02:41:11,823 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/177.out' 2024-01-19 02:41:11,823 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/178.bin' 2024-01-19 02:41:11,824 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/178.out' 2024-01-19 02:41:11,824 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/179.bin' 2024-01-19 02:41:11,825 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/179.out' 2024-01-19 02:41:11,826 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/18.bin' 2024-01-19 02:41:11,826 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/18.out' 2024-01-19 02:41:11,827 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/180.bin' 2024-01-19 02:41:11,827 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/180.out' 2024-01-19 02:41:11,828 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/181.bin' 2024-01-19 02:41:11,829 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/181.out' 2024-01-19 02:41:11,829 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/182.bin' 2024-01-19 02:41:11,830 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/182.out' 2024-01-19 02:41:11,830 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/183.bin' 2024-01-19 02:41:11,831 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/183.out' 2024-01-19 02:41:11,832 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/184.bin' 2024-01-19 02:41:11,832 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/184.out' 2024-01-19 02:41:11,833 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/185.bin' 2024-01-19 02:41:11,834 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/185.out' 2024-01-19 02:41:11,834 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/186.bin' 2024-01-19 02:41:11,835 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/186.out' 2024-01-19 02:41:11,835 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/187.bin' 2024-01-19 02:41:11,836 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/187.out' 2024-01-19 02:41:11,837 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/188.bin' 2024-01-19 02:41:11,837 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/188.out' 2024-01-19 02:41:11,838 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/189.bin' 2024-01-19 02:41:11,839 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/189.out' 2024-01-19 02:41:11,839 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/19.bin' 2024-01-19 02:41:11,840 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/19.out' 2024-01-19 02:41:11,840 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/190.bin' 2024-01-19 02:41:11,841 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/190.out' 2024-01-19 02:41:11,842 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/191.bin' 2024-01-19 02:41:11,842 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/191.out' 2024-01-19 02:41:11,843 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/192.bin' 2024-01-19 02:41:11,843 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/192.out' 2024-01-19 02:41:11,844 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/193.bin' 2024-01-19 02:41:11,845 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/193.out' 2024-01-19 02:41:11,845 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/194.bin' 2024-01-19 02:41:11,846 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/194.out' 2024-01-19 02:41:11,846 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/195.bin' 2024-01-19 02:41:11,847 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/195.out' 2024-01-19 02:41:11,848 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/196.bin' 2024-01-19 02:41:11,849 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/196.out' 2024-01-19 02:41:11,849 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/197.bin' 2024-01-19 02:41:11,850 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/197.out' 2024-01-19 02:41:11,851 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/198.bin' 2024-01-19 02:41:11,851 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/198.out' 2024-01-19 02:41:11,852 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/199.bin' 2024-01-19 02:41:11,852 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/199.out' 2024-01-19 02:41:11,853 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/2.bin' 2024-01-19 02:41:11,854 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/2.out' 2024-01-19 02:41:11,854 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/20.bin' 2024-01-19 02:41:11,855 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/20.out' 2024-01-19 02:41:11,855 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/200.bin' 2024-01-19 02:41:11,856 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/200.out' 2024-01-19 02:41:11,857 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/201.bin' 2024-01-19 02:41:11,857 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/201.out' 2024-01-19 02:41:11,858 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/202.bin' 2024-01-19 02:41:11,859 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/202.out' 2024-01-19 02:41:11,859 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/203.bin' 2024-01-19 02:41:11,860 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/203.out' 2024-01-19 02:41:11,860 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/204.bin' 2024-01-19 02:41:11,861 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/204.out' 2024-01-19 02:41:11,862 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/205.bin' 2024-01-19 02:41:11,862 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/205.out' 2024-01-19 02:41:11,863 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/206.bin' 2024-01-19 02:41:11,864 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/206.out' 2024-01-19 02:41:11,864 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/207.bin' 2024-01-19 02:41:11,865 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/207.out' 2024-01-19 02:41:11,865 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/208.bin' 2024-01-19 02:41:11,866 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/208.out' 2024-01-19 02:41:11,867 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/209.bin' 2024-01-19 02:41:11,867 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/209.out' 2024-01-19 02:41:11,868 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/21.bin' 2024-01-19 02:41:11,869 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/21.out' 2024-01-19 02:41:11,869 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/210.bin' 2024-01-19 02:41:11,870 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/210.out' 2024-01-19 02:41:11,870 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/211.bin' 2024-01-19 02:41:11,871 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/211.out' 2024-01-19 02:41:11,871 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/212.bin' 2024-01-19 02:41:11,872 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/212.out' 2024-01-19 02:41:11,873 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/213.bin' 2024-01-19 02:41:11,873 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/213.out' 2024-01-19 02:41:11,874 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/214.bin' 2024-01-19 02:41:11,875 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/214.out' 2024-01-19 02:41:11,875 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/215.bin' 2024-01-19 02:41:11,876 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/215.out' 2024-01-19 02:41:11,876 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/216.bin' 2024-01-19 02:41:11,877 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/216.out' 2024-01-19 02:41:11,878 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/217.bin' 2024-01-19 02:41:11,878 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/217.out' 2024-01-19 02:41:11,879 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/218.bin' 2024-01-19 02:41:11,880 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/218.out' 2024-01-19 02:41:11,880 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/219.bin' 2024-01-19 02:41:11,881 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/219.out' 2024-01-19 02:41:11,881 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/22.bin' 2024-01-19 02:41:11,882 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/22.out' 2024-01-19 02:41:11,882 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/220.bin' 2024-01-19 02:41:11,883 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/220.out' 2024-01-19 02:41:11,884 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/221.bin' 2024-01-19 02:41:11,884 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/221.out' 2024-01-19 02:41:11,885 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/222.bin' 2024-01-19 02:41:11,886 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/222.out' 2024-01-19 02:41:11,886 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/223.bin' 2024-01-19 02:41:11,887 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/223.out' 2024-01-19 02:41:11,887 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/224.bin' 2024-01-19 02:41:11,888 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/224.out' 2024-01-19 02:41:11,889 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/225.bin' 2024-01-19 02:41:11,889 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/225.out' 2024-01-19 02:41:11,890 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/226.bin' 2024-01-19 02:41:11,891 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/226.out' 2024-01-19 02:41:11,891 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/227.bin' 2024-01-19 02:41:11,892 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/227.out' 2024-01-19 02:41:11,892 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/228.bin' 2024-01-19 02:41:11,893 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/228.out' 2024-01-19 02:41:11,894 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/229.bin' 2024-01-19 02:41:11,894 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/229.out' 2024-01-19 02:41:11,895 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/23.bin' 2024-01-19 02:41:11,896 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/23.out' 2024-01-19 02:41:11,896 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/230.bin' 2024-01-19 02:41:11,897 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/230.out' 2024-01-19 02:41:11,897 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/231.bin' 2024-01-19 02:41:11,898 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/231.out' 2024-01-19 02:41:11,899 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/232.bin' 2024-01-19 02:41:11,899 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/232.out' 2024-01-19 02:41:11,900 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/233.bin' 2024-01-19 02:41:11,901 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/233.out' 2024-01-19 02:41:11,901 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/234.bin' 2024-01-19 02:41:11,902 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/234.out' 2024-01-19 02:41:11,902 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/235.bin' 2024-01-19 02:41:11,903 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/235.out' 2024-01-19 02:41:11,904 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/236.bin' 2024-01-19 02:41:11,904 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/236.out' 2024-01-19 02:41:11,905 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/237.bin' 2024-01-19 02:41:11,906 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/237.out' 2024-01-19 02:41:11,906 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/238.bin' 2024-01-19 02:41:11,907 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/238.out' 2024-01-19 02:41:11,907 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/239.bin' 2024-01-19 02:41:11,908 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/239.out' 2024-01-19 02:41:11,909 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/24.bin' 2024-01-19 02:41:11,909 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/24.out' 2024-01-19 02:41:11,910 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/240.bin' 2024-01-19 02:41:11,910 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/240.out' 2024-01-19 02:41:11,911 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/241.bin' 2024-01-19 02:41:11,912 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/241.out' 2024-01-19 02:41:11,912 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/242.bin' 2024-01-19 02:41:11,913 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/242.out' 2024-01-19 02:41:11,913 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/243.bin' 2024-01-19 02:41:11,914 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/243.out' 2024-01-19 02:41:11,915 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/244.bin' 2024-01-19 02:41:11,915 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/244.out' 2024-01-19 02:41:11,916 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/245.bin' 2024-01-19 02:41:11,917 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/245.out' 2024-01-19 02:41:11,917 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/246.bin' 2024-01-19 02:41:11,918 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/246.out' 2024-01-19 02:41:11,918 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/247.bin' 2024-01-19 02:41:11,919 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/247.out' 2024-01-19 02:41:11,920 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/248.bin' 2024-01-19 02:41:11,920 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/248.out' 2024-01-19 02:41:11,921 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/249.bin' 2024-01-19 02:41:11,922 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/249.out' 2024-01-19 02:41:11,922 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/25.bin' 2024-01-19 02:41:11,923 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/25.out' 2024-01-19 02:41:11,923 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/250.bin' 2024-01-19 02:41:11,924 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/250.out' 2024-01-19 02:41:11,925 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/251.bin' 2024-01-19 02:41:11,925 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/251.out' 2024-01-19 02:41:11,926 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/252.bin' 2024-01-19 02:41:11,926 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/252.out' 2024-01-19 02:41:11,927 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/253.bin' 2024-01-19 02:41:11,928 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/253.out' 2024-01-19 02:41:11,928 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/254.bin' 2024-01-19 02:41:11,929 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/254.out' 2024-01-19 02:41:11,929 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/255.bin' 2024-01-19 02:41:11,930 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/255.out' 2024-01-19 02:41:11,931 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/256.bin' 2024-01-19 02:41:11,931 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/256.out' 2024-01-19 02:41:11,932 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/257.bin' 2024-01-19 02:41:11,933 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/257.out' 2024-01-19 02:41:11,933 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/258.bin' 2024-01-19 02:41:11,934 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/258.out' 2024-01-19 02:41:11,934 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/259.bin' 2024-01-19 02:41:11,935 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/259.out' 2024-01-19 02:41:11,936 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/26.bin' 2024-01-19 02:41:11,936 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/26.out' 2024-01-19 02:41:11,937 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/260.bin' 2024-01-19 02:41:11,938 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/260.out' 2024-01-19 02:41:11,938 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/261.bin' 2024-01-19 02:41:11,939 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/261.out' 2024-01-19 02:41:11,939 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/262.bin' 2024-01-19 02:41:11,940 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/262.out' 2024-01-19 02:41:11,941 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/263.bin' 2024-01-19 02:41:11,941 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/263.out' 2024-01-19 02:41:11,942 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/264.bin' 2024-01-19 02:41:11,942 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/264.out' 2024-01-19 02:41:11,943 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/265.bin' 2024-01-19 02:41:11,944 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/265.out' 2024-01-19 02:41:11,944 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/266.bin' 2024-01-19 02:41:11,945 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/266.out' 2024-01-19 02:41:11,945 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/267.bin' 2024-01-19 02:41:11,946 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/267.out' 2024-01-19 02:41:11,947 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/268.bin' 2024-01-19 02:41:11,947 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/268.out' 2024-01-19 02:41:11,948 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/269.bin' 2024-01-19 02:41:11,949 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/269.out' 2024-01-19 02:41:11,949 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/27.bin' 2024-01-19 02:41:11,950 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/27.out' 2024-01-19 02:41:11,950 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/270.bin' 2024-01-19 02:41:11,951 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/270.out' 2024-01-19 02:41:11,952 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/271.bin' 2024-01-19 02:41:11,952 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/271.out' 2024-01-19 02:41:11,953 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/272.bin' 2024-01-19 02:41:11,954 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/272.out' 2024-01-19 02:41:11,954 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/273.bin' 2024-01-19 02:41:11,955 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/273.out' 2024-01-19 02:41:11,955 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/274.bin' 2024-01-19 02:41:11,956 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/274.out' 2024-01-19 02:41:11,957 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/275.bin' 2024-01-19 02:41:11,957 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/275.out' 2024-01-19 02:41:11,958 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/276.bin' 2024-01-19 02:41:11,959 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/276.out' 2024-01-19 02:41:11,959 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/277.bin' 2024-01-19 02:41:11,960 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/277.out' 2024-01-19 02:41:11,960 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/278.bin' 2024-01-19 02:41:11,961 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/278.out' 2024-01-19 02:41:11,961 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/279.bin' 2024-01-19 02:41:11,962 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/279.out' 2024-01-19 02:41:11,963 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/28.bin' 2024-01-19 02:41:11,963 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/28.out' 2024-01-19 02:41:11,964 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/280.bin' 2024-01-19 02:41:11,965 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/280.out' 2024-01-19 02:41:11,965 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/281.bin' 2024-01-19 02:41:11,966 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/281.out' 2024-01-19 02:41:11,966 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/282.bin' 2024-01-19 02:41:11,967 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/282.out' 2024-01-19 02:41:11,968 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/283.bin' 2024-01-19 02:41:11,968 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/283.out' 2024-01-19 02:41:11,969 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/284.bin' 2024-01-19 02:41:11,970 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/284.out' 2024-01-19 02:41:11,970 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/285.bin' 2024-01-19 02:41:11,971 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/285.out' 2024-01-19 02:41:11,971 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/286.bin' 2024-01-19 02:41:11,972 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/286.out' 2024-01-19 02:41:11,973 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/287.bin' 2024-01-19 02:41:11,974 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/287.out' 2024-01-19 02:41:11,974 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/288.bin' 2024-01-19 02:41:11,975 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/288.out' 2024-01-19 02:41:11,975 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/289.bin' 2024-01-19 02:41:11,976 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/289.out' 2024-01-19 02:41:11,977 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/29.bin' 2024-01-19 02:41:11,977 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/29.out' 2024-01-19 02:41:11,978 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/290.bin' 2024-01-19 02:41:11,979 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/290.out' 2024-01-19 02:41:11,979 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/291.bin' 2024-01-19 02:41:11,980 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/291.out' 2024-01-19 02:41:11,980 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/292.bin' 2024-01-19 02:41:11,981 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/292.out' 2024-01-19 02:41:11,981 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/293.bin' 2024-01-19 02:41:11,982 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/293.out' 2024-01-19 02:41:11,983 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/294.bin' 2024-01-19 02:41:11,983 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/294.out' 2024-01-19 02:41:11,984 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/295.bin' 2024-01-19 02:41:11,985 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/295.out' 2024-01-19 02:41:11,985 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/296.bin' 2024-01-19 02:41:11,986 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/296.out' 2024-01-19 02:41:11,986 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/297.bin' 2024-01-19 02:41:11,987 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/297.out' 2024-01-19 02:41:11,988 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/298.bin' 2024-01-19 02:41:11,988 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/298.out' 2024-01-19 02:41:11,989 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/299.bin' 2024-01-19 02:41:11,990 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/299.out' 2024-01-19 02:41:11,990 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/3.bin' 2024-01-19 02:41:11,991 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/3.out' 2024-01-19 02:41:11,991 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/30.bin' 2024-01-19 02:41:11,992 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/30.out' 2024-01-19 02:41:11,993 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/300.bin' 2024-01-19 02:41:11,993 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/300.out' 2024-01-19 02:41:11,994 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/301.bin' 2024-01-19 02:41:11,995 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/301.out' 2024-01-19 02:41:11,995 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/302.bin' 2024-01-19 02:41:11,996 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/302.out' 2024-01-19 02:41:11,996 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/303.bin' 2024-01-19 02:41:11,997 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/303.out' 2024-01-19 02:41:11,997 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/304.bin' 2024-01-19 02:41:11,998 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/304.out' 2024-01-19 02:41:11,999 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/305.bin' 2024-01-19 02:41:11,999 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/305.out' 2024-01-19 02:41:12,000 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/306.bin' 2024-01-19 02:41:12,001 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/306.out' 2024-01-19 02:41:12,001 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/307.bin' 2024-01-19 02:41:12,002 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/307.out' 2024-01-19 02:41:12,002 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/308.bin' 2024-01-19 02:41:12,003 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/308.out' 2024-01-19 02:41:12,004 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/309.bin' 2024-01-19 02:41:12,004 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/309.out' 2024-01-19 02:41:12,005 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/31.bin' 2024-01-19 02:41:12,006 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/31.out' 2024-01-19 02:41:12,006 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/310.bin' 2024-01-19 02:41:12,007 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/310.out' 2024-01-19 02:41:12,007 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/311.bin' 2024-01-19 02:41:12,008 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/311.out' 2024-01-19 02:41:12,009 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/312.bin' 2024-01-19 02:41:12,009 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/312.out' 2024-01-19 02:41:12,010 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/313.bin' 2024-01-19 02:41:12,011 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/313.out' 2024-01-19 02:41:12,011 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/314.bin' 2024-01-19 02:41:12,012 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/314.out' 2024-01-19 02:41:12,012 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/315.bin' 2024-01-19 02:41:12,013 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/315.out' 2024-01-19 02:41:12,014 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/316.bin' 2024-01-19 02:41:12,014 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/316.out' 2024-01-19 02:41:12,015 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/317.bin' 2024-01-19 02:41:12,015 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/317.out' 2024-01-19 02:41:12,016 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/318.bin' 2024-01-19 02:41:12,017 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/318.out' 2024-01-19 02:41:12,017 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/319.bin' 2024-01-19 02:41:12,018 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/319.out' 2024-01-19 02:41:12,018 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/32.bin' 2024-01-19 02:41:12,019 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/32.out' 2024-01-19 02:41:12,020 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/320.bin' 2024-01-19 02:41:12,020 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/320.out' 2024-01-19 02:41:12,021 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/321.bin' 2024-01-19 02:41:12,022 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/321.out' 2024-01-19 02:41:12,022 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/322.bin' 2024-01-19 02:41:12,023 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/322.out' 2024-01-19 02:41:12,023 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/323.bin' 2024-01-19 02:41:12,024 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/323.out' 2024-01-19 02:41:12,025 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/324.bin' 2024-01-19 02:41:12,025 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/324.out' 2024-01-19 02:41:12,026 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/325.bin' 2024-01-19 02:41:12,027 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/325.out' 2024-01-19 02:41:12,027 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/326.bin' 2024-01-19 02:41:12,028 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/326.out' 2024-01-19 02:41:12,028 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/327.bin' 2024-01-19 02:41:12,029 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/327.out' 2024-01-19 02:41:12,030 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/328.bin' 2024-01-19 02:41:12,030 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/328.out' 2024-01-19 02:41:12,031 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/329.bin' 2024-01-19 02:41:12,031 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/329.out' 2024-01-19 02:41:12,032 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/33.bin' 2024-01-19 02:41:12,033 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/33.out' 2024-01-19 02:41:12,033 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/330.bin' 2024-01-19 02:41:12,034 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/330.out' 2024-01-19 02:41:12,034 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/331.bin' 2024-01-19 02:41:12,035 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/331.out' 2024-01-19 02:41:12,036 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/332.bin' 2024-01-19 02:41:12,036 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/332.out' 2024-01-19 02:41:12,037 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/333.bin' 2024-01-19 02:41:12,038 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/333.out' 2024-01-19 02:41:12,038 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/334.bin' 2024-01-19 02:41:12,039 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/334.out' 2024-01-19 02:41:12,039 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/335.bin' 2024-01-19 02:41:12,040 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/335.out' 2024-01-19 02:41:12,041 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/336.bin' 2024-01-19 02:41:12,041 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/336.out' 2024-01-19 02:41:12,042 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/337.bin' 2024-01-19 02:41:12,043 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/337.out' 2024-01-19 02:41:12,043 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/338.bin' 2024-01-19 02:41:12,044 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/338.out' 2024-01-19 02:41:12,044 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/339.bin' 2024-01-19 02:41:12,045 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/339.out' 2024-01-19 02:41:12,045 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/34.bin' 2024-01-19 02:41:12,046 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/34.out' 2024-01-19 02:41:12,047 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/340.bin' 2024-01-19 02:41:12,047 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/340.out' 2024-01-19 02:41:12,048 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/341.bin' 2024-01-19 02:41:12,049 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/341.out' 2024-01-19 02:41:12,049 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/342.bin' 2024-01-19 02:41:12,050 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/342.out' 2024-01-19 02:41:12,050 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/343.bin' 2024-01-19 02:41:12,051 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/343.out' 2024-01-19 02:41:12,052 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/344.bin' 2024-01-19 02:41:12,052 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/344.out' 2024-01-19 02:41:12,053 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/345.bin' 2024-01-19 02:41:12,054 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/345.out' 2024-01-19 02:41:12,054 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/346.bin' 2024-01-19 02:41:12,055 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/346.out' 2024-01-19 02:41:12,055 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/347.bin' 2024-01-19 02:41:12,056 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/347.out' 2024-01-19 02:41:12,057 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/348.bin' 2024-01-19 02:41:12,057 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/348.out' 2024-01-19 02:41:12,058 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/349.bin' 2024-01-19 02:41:12,059 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/349.out' 2024-01-19 02:41:12,059 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/35.bin' 2024-01-19 02:41:12,060 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/35.out' 2024-01-19 02:41:12,060 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/350.bin' 2024-01-19 02:41:12,061 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/350.out' 2024-01-19 02:41:12,062 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/351.bin' 2024-01-19 02:41:12,062 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/351.out' 2024-01-19 02:41:12,063 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/352.bin' 2024-01-19 02:41:12,063 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/352.out' 2024-01-19 02:41:12,064 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/353.bin' 2024-01-19 02:41:12,065 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/353.out' 2024-01-19 02:41:12,065 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/354.bin' 2024-01-19 02:41:12,066 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/354.out' 2024-01-19 02:41:12,066 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/355.bin' 2024-01-19 02:41:12,067 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/355.out' 2024-01-19 02:41:12,068 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/356.bin' 2024-01-19 02:41:12,068 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/356.out' 2024-01-19 02:41:12,069 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/357.bin' 2024-01-19 02:41:12,070 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/357.out' 2024-01-19 02:41:12,070 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/358.bin' 2024-01-19 02:41:12,071 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/358.out' 2024-01-19 02:41:12,071 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/359.bin' 2024-01-19 02:41:12,072 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/359.out' 2024-01-19 02:41:12,073 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/36.bin' 2024-01-19 02:41:12,073 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/36.out' 2024-01-19 02:41:12,074 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/360.bin' 2024-01-19 02:41:12,075 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/360.out' 2024-01-19 02:41:12,075 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/361.bin' 2024-01-19 02:41:12,076 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/361.out' 2024-01-19 02:41:12,076 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/362.bin' 2024-01-19 02:41:12,077 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/362.out' 2024-01-19 02:41:12,078 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/363.bin' 2024-01-19 02:41:12,078 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/363.out' 2024-01-19 02:41:12,079 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/364.bin' 2024-01-19 02:41:12,080 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/364.out' 2024-01-19 02:41:12,080 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/365.bin' 2024-01-19 02:41:12,081 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/365.out' 2024-01-19 02:41:12,081 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/366.bin' 2024-01-19 02:41:12,082 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/366.out' 2024-01-19 02:41:12,083 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/367.bin' 2024-01-19 02:41:12,083 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/367.out' 2024-01-19 02:41:12,084 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/368.bin' 2024-01-19 02:41:12,084 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/368.out' 2024-01-19 02:41:12,085 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/369.bin' 2024-01-19 02:41:12,086 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/369.out' 2024-01-19 02:41:12,086 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/37.bin' 2024-01-19 02:41:12,087 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/37.out' 2024-01-19 02:41:12,087 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/370.bin' 2024-01-19 02:41:12,088 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/370.out' 2024-01-19 02:41:12,089 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/371.bin' 2024-01-19 02:41:12,089 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/371.out' 2024-01-19 02:41:12,090 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/372.bin' 2024-01-19 02:41:12,091 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/372.out' 2024-01-19 02:41:12,091 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/373.bin' 2024-01-19 02:41:12,092 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/373.out' 2024-01-19 02:41:12,092 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/374.bin' 2024-01-19 02:41:12,093 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/374.out' 2024-01-19 02:41:12,094 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/375.bin' 2024-01-19 02:41:12,094 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/375.out' 2024-01-19 02:41:12,095 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/376.bin' 2024-01-19 02:41:12,096 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/376.out' 2024-01-19 02:41:12,096 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/377.bin' 2024-01-19 02:41:12,097 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/377.out' 2024-01-19 02:41:12,097 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/378.bin' 2024-01-19 02:41:12,098 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/378.out' 2024-01-19 02:41:12,099 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/379.bin' 2024-01-19 02:41:12,100 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/379.out' 2024-01-19 02:41:12,100 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/38.bin' 2024-01-19 02:41:12,101 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/38.out' 2024-01-19 02:41:12,101 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/380.bin' 2024-01-19 02:41:12,102 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/380.out' 2024-01-19 02:41:12,103 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/381.bin' 2024-01-19 02:41:12,103 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/381.out' 2024-01-19 02:41:12,104 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/382.bin' 2024-01-19 02:41:12,105 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/382.out' 2024-01-19 02:41:12,105 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/383.bin' 2024-01-19 02:41:12,106 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/383.out' 2024-01-19 02:41:12,106 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/384.bin' 2024-01-19 02:41:12,107 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/384.out' 2024-01-19 02:41:12,108 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/385.bin' 2024-01-19 02:41:12,109 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/385.out' 2024-01-19 02:41:12,110 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/386.bin' 2024-01-19 02:41:12,111 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/386.out' 2024-01-19 02:41:12,111 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/387.bin' 2024-01-19 02:41:12,112 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/387.out' 2024-01-19 02:41:12,112 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/388.bin' 2024-01-19 02:41:12,113 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/388.out' 2024-01-19 02:41:12,114 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/389.bin' 2024-01-19 02:41:12,114 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/389.out' 2024-01-19 02:41:12,115 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/39.bin' 2024-01-19 02:41:12,116 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/39.out' 2024-01-19 02:41:12,116 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/390.bin' 2024-01-19 02:41:12,117 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/390.out' 2024-01-19 02:41:12,117 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/391.bin' 2024-01-19 02:41:12,118 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/391.out' 2024-01-19 02:41:12,119 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/392.bin' 2024-01-19 02:41:12,119 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/392.out' 2024-01-19 02:41:12,120 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/393.bin' 2024-01-19 02:41:12,120 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/393.out' 2024-01-19 02:41:12,121 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/394.bin' 2024-01-19 02:41:12,122 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/394.out' 2024-01-19 02:41:12,122 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/395.bin' 2024-01-19 02:41:12,123 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/395.out' 2024-01-19 02:41:12,123 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/396.bin' 2024-01-19 02:41:12,124 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/396.out' 2024-01-19 02:41:12,125 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/397.bin' 2024-01-19 02:41:12,125 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/397.out' 2024-01-19 02:41:12,126 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/398.bin' 2024-01-19 02:41:12,127 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/398.out' 2024-01-19 02:41:12,127 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/399.bin' 2024-01-19 02:41:12,128 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/399.out' 2024-01-19 02:41:12,128 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/4.bin' 2024-01-19 02:41:12,129 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/4.out' 2024-01-19 02:41:12,130 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/40.bin' 2024-01-19 02:41:12,130 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/40.out' 2024-01-19 02:41:12,131 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/400.bin' 2024-01-19 02:41:12,132 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/400.out' 2024-01-19 02:41:12,132 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/401.bin' 2024-01-19 02:41:12,133 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/401.out' 2024-01-19 02:41:12,133 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/402.bin' 2024-01-19 02:41:12,134 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/402.out' 2024-01-19 02:41:12,135 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/403.bin' 2024-01-19 02:41:12,135 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/403.out' 2024-01-19 02:41:12,136 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/404.bin' 2024-01-19 02:41:12,137 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/404.out' 2024-01-19 02:41:12,137 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/405.bin' 2024-01-19 02:41:12,138 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/405.out' 2024-01-19 02:41:12,138 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/406.bin' 2024-01-19 02:41:12,139 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/406.out' 2024-01-19 02:41:12,139 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/407.bin' 2024-01-19 02:41:12,140 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/407.out' 2024-01-19 02:41:12,141 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/408.bin' 2024-01-19 02:41:12,141 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/408.out' 2024-01-19 02:41:12,142 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/409.bin' 2024-01-19 02:41:12,143 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/409.out' 2024-01-19 02:41:12,143 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/41.bin' 2024-01-19 02:41:12,144 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/41.out' 2024-01-19 02:41:12,144 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/410.bin' 2024-01-19 02:41:12,145 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/410.out' 2024-01-19 02:41:12,146 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/411.bin' 2024-01-19 02:41:12,146 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/411.out' 2024-01-19 02:41:12,147 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/412.bin' 2024-01-19 02:41:12,148 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/412.out' 2024-01-19 02:41:12,148 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/413.bin' 2024-01-19 02:41:12,149 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/413.out' 2024-01-19 02:41:12,149 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/414.bin' 2024-01-19 02:41:12,150 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/414.out' 2024-01-19 02:41:12,151 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/415.bin' 2024-01-19 02:41:12,151 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/415.out' 2024-01-19 02:41:12,152 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/416.bin' 2024-01-19 02:41:12,152 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/416.out' 2024-01-19 02:41:12,153 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/417.bin' 2024-01-19 02:41:12,154 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/417.out' 2024-01-19 02:41:12,154 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/418.bin' 2024-01-19 02:41:12,155 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/418.out' 2024-01-19 02:41:12,155 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/419.bin' 2024-01-19 02:41:12,156 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/419.out' 2024-01-19 02:41:12,157 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/42.bin' 2024-01-19 02:41:12,157 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/42.out' 2024-01-19 02:41:12,158 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/420.bin' 2024-01-19 02:41:12,159 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/420.out' 2024-01-19 02:41:12,159 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/421.bin' 2024-01-19 02:41:12,160 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/421.out' 2024-01-19 02:41:12,160 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/422.bin' 2024-01-19 02:41:12,161 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/422.out' 2024-01-19 02:41:12,162 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/423.bin' 2024-01-19 02:41:12,162 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/423.out' 2024-01-19 02:41:12,163 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/424.bin' 2024-01-19 02:41:12,164 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/424.out' 2024-01-19 02:41:12,164 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/425.bin' 2024-01-19 02:41:12,165 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/425.out' 2024-01-19 02:41:12,165 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/426.bin' 2024-01-19 02:41:12,166 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/426.out' 2024-01-19 02:41:12,166 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/427.bin' 2024-01-19 02:41:12,167 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/427.out' 2024-01-19 02:41:12,168 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/428.bin' 2024-01-19 02:41:12,168 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/428.out' 2024-01-19 02:41:12,169 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/429.bin' 2024-01-19 02:41:12,170 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/429.out' 2024-01-19 02:41:12,170 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/43.bin' 2024-01-19 02:41:12,171 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/43.out' 2024-01-19 02:41:12,171 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/430.bin' 2024-01-19 02:41:12,172 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/430.out' 2024-01-19 02:41:12,173 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/431.bin' 2024-01-19 02:41:12,173 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/431.out' 2024-01-19 02:41:12,174 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/432.bin' 2024-01-19 02:41:12,175 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/432.out' 2024-01-19 02:41:12,175 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/433.bin' 2024-01-19 02:41:12,176 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/433.out' 2024-01-19 02:41:12,176 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/434.bin' 2024-01-19 02:41:12,177 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/434.out' 2024-01-19 02:41:12,178 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/435.bin' 2024-01-19 02:41:12,178 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/435.out' 2024-01-19 02:41:12,179 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/436.bin' 2024-01-19 02:41:12,180 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/436.out' 2024-01-19 02:41:12,180 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/437.bin' 2024-01-19 02:41:12,181 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/437.out' 2024-01-19 02:41:12,181 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/438.bin' 2024-01-19 02:41:12,182 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/438.out' 2024-01-19 02:41:12,182 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/439.bin' 2024-01-19 02:41:12,183 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/439.out' 2024-01-19 02:41:12,184 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/44.bin' 2024-01-19 02:41:12,184 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/44.out' 2024-01-19 02:41:12,185 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/440.bin' 2024-01-19 02:41:12,186 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/440.out' 2024-01-19 02:41:12,186 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/441.bin' 2024-01-19 02:41:12,187 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/441.out' 2024-01-19 02:41:12,187 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/442.bin' 2024-01-19 02:41:12,188 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/442.out' 2024-01-19 02:41:12,189 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/443.bin' 2024-01-19 02:41:12,189 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/443.out' 2024-01-19 02:41:12,190 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/444.bin' 2024-01-19 02:41:12,191 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/444.out' 2024-01-19 02:41:12,191 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/445.bin' 2024-01-19 02:41:12,192 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/445.out' 2024-01-19 02:41:12,192 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/446.bin' 2024-01-19 02:41:12,193 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/446.out' 2024-01-19 02:41:12,194 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/447.bin' 2024-01-19 02:41:12,194 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/447.out' 2024-01-19 02:41:12,195 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/448.bin' 2024-01-19 02:41:12,196 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/448.out' 2024-01-19 02:41:12,196 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/449.bin' 2024-01-19 02:41:12,197 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/449.out' 2024-01-19 02:41:12,197 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/45.bin' 2024-01-19 02:41:12,198 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/45.out' 2024-01-19 02:41:12,198 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/450.bin' 2024-01-19 02:41:12,199 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/450.out' 2024-01-19 02:41:12,200 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/451.bin' 2024-01-19 02:41:12,200 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/451.out' 2024-01-19 02:41:12,201 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/452.bin' 2024-01-19 02:41:12,202 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/452.out' 2024-01-19 02:41:12,202 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/453.bin' 2024-01-19 02:41:12,203 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/453.out' 2024-01-19 02:41:12,203 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/454.bin' 2024-01-19 02:41:12,204 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/454.out' 2024-01-19 02:41:12,205 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/455.bin' 2024-01-19 02:41:12,205 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/455.out' 2024-01-19 02:41:12,206 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/456.bin' 2024-01-19 02:41:12,207 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/456.out' 2024-01-19 02:41:12,207 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/457.bin' 2024-01-19 02:41:12,208 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/457.out' 2024-01-19 02:41:12,208 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/458.bin' 2024-01-19 02:41:12,209 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/458.out' 2024-01-19 02:41:12,210 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/459.bin' 2024-01-19 02:41:12,210 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/459.out' 2024-01-19 02:41:12,211 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/46.bin' 2024-01-19 02:41:12,211 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/46.out' 2024-01-19 02:41:12,212 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/460.bin' 2024-01-19 02:41:12,213 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/460.out' 2024-01-19 02:41:12,213 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/461.bin' 2024-01-19 02:41:12,214 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/461.out' 2024-01-19 02:41:12,214 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/462.bin' 2024-01-19 02:41:12,215 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/462.out' 2024-01-19 02:41:12,216 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/463.bin' 2024-01-19 02:41:12,216 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/463.out' 2024-01-19 02:41:12,217 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/464.bin' 2024-01-19 02:41:12,218 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/464.out' 2024-01-19 02:41:12,218 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/465.bin' 2024-01-19 02:41:12,219 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/465.out' 2024-01-19 02:41:12,219 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/466.bin' 2024-01-19 02:41:12,220 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/466.out' 2024-01-19 02:41:12,221 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/467.bin' 2024-01-19 02:41:12,221 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/467.out' 2024-01-19 02:41:12,222 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/468.bin' 2024-01-19 02:41:12,223 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/468.out' 2024-01-19 02:41:12,223 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/469.bin' 2024-01-19 02:41:12,224 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/469.out' 2024-01-19 02:41:12,225 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/47.bin' 2024-01-19 02:41:12,225 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/47.out' 2024-01-19 02:41:12,226 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/470.bin' 2024-01-19 02:41:12,227 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/470.out' 2024-01-19 02:41:12,227 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/471.bin' 2024-01-19 02:41:12,228 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/471.out' 2024-01-19 02:41:12,228 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/472.bin' 2024-01-19 02:41:12,229 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/472.out' 2024-01-19 02:41:12,230 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/473.bin' 2024-01-19 02:41:12,230 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/473.out' 2024-01-19 02:41:12,231 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/474.bin' 2024-01-19 02:41:12,232 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/474.out' 2024-01-19 02:41:12,232 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/475.bin' 2024-01-19 02:41:12,233 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/475.out' 2024-01-19 02:41:12,233 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/476.bin' 2024-01-19 02:41:12,234 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/476.out' 2024-01-19 02:41:12,235 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/477.bin' 2024-01-19 02:41:12,235 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/477.out' 2024-01-19 02:41:12,236 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/478.bin' 2024-01-19 02:41:12,236 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/478.out' 2024-01-19 02:41:12,237 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/479.bin' 2024-01-19 02:41:12,238 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/479.out' 2024-01-19 02:41:12,238 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/48.bin' 2024-01-19 02:41:12,239 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/48.out' 2024-01-19 02:41:12,239 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/480.bin' 2024-01-19 02:41:12,240 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/480.out' 2024-01-19 02:41:12,241 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/481.bin' 2024-01-19 02:41:12,241 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/481.out' 2024-01-19 02:41:12,242 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/482.bin' 2024-01-19 02:41:12,243 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/482.out' 2024-01-19 02:41:12,243 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/483.bin' 2024-01-19 02:41:12,244 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/483.out' 2024-01-19 02:41:12,244 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/484.bin' 2024-01-19 02:41:12,245 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/484.out' 2024-01-19 02:41:12,246 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/485.bin' 2024-01-19 02:41:12,246 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/485.out' 2024-01-19 02:41:12,247 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/486.bin' 2024-01-19 02:41:12,248 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/486.out' 2024-01-19 02:41:12,248 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/487.bin' 2024-01-19 02:41:12,249 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/487.out' 2024-01-19 02:41:12,249 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/488.bin' 2024-01-19 02:41:12,250 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/488.out' 2024-01-19 02:41:12,251 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/489.bin' 2024-01-19 02:41:12,251 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/489.out' 2024-01-19 02:41:12,252 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/49.bin' 2024-01-19 02:41:12,252 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/49.out' 2024-01-19 02:41:12,253 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/490.bin' 2024-01-19 02:41:12,254 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/490.out' 2024-01-19 02:41:12,254 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/491.bin' 2024-01-19 02:41:12,255 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/491.out' 2024-01-19 02:41:12,255 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/492.bin' 2024-01-19 02:41:12,256 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/492.out' 2024-01-19 02:41:12,257 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/493.bin' 2024-01-19 02:41:12,257 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/493.out' 2024-01-19 02:41:12,258 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/494.bin' 2024-01-19 02:41:12,259 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/494.out' 2024-01-19 02:41:12,259 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/495.bin' 2024-01-19 02:41:12,260 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/495.out' 2024-01-19 02:41:12,260 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/496.bin' 2024-01-19 02:41:12,261 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/496.out' 2024-01-19 02:41:12,262 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/497.bin' 2024-01-19 02:41:12,262 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/497.out' 2024-01-19 02:41:12,263 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/498.bin' 2024-01-19 02:41:12,264 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/498.out' 2024-01-19 02:41:12,264 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/499.bin' 2024-01-19 02:41:12,265 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/499.out' 2024-01-19 02:41:12,265 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/5.bin' 2024-01-19 02:41:12,266 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/5.out' 2024-01-19 02:41:12,267 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/50.bin' 2024-01-19 02:41:12,267 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/50.out' 2024-01-19 02:41:12,268 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/500.bin' 2024-01-19 02:41:12,268 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/500.out' 2024-01-19 02:41:12,269 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/501.bin' 2024-01-19 02:41:12,270 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/501.out' 2024-01-19 02:41:12,270 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/502.bin' 2024-01-19 02:41:12,271 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/502.out' 2024-01-19 02:41:12,271 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/503.bin' 2024-01-19 02:41:12,272 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/503.out' 2024-01-19 02:41:12,273 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/504.bin' 2024-01-19 02:41:12,273 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/504.out' 2024-01-19 02:41:12,274 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/505.bin' 2024-01-19 02:41:12,275 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/505.out' 2024-01-19 02:41:12,275 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/506.bin' 2024-01-19 02:41:12,276 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/506.out' 2024-01-19 02:41:12,276 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/507.bin' 2024-01-19 02:41:12,277 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/507.out' 2024-01-19 02:41:12,278 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/508.bin' 2024-01-19 02:41:12,278 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/508.out' 2024-01-19 02:41:12,279 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/509.bin' 2024-01-19 02:41:12,280 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/509.out' 2024-01-19 02:41:12,280 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/51.bin' 2024-01-19 02:41:12,281 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/51.out' 2024-01-19 02:41:12,281 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/510.bin' 2024-01-19 02:41:12,282 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/510.out' 2024-01-19 02:41:12,283 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/511.bin' 2024-01-19 02:41:12,283 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/511.out' 2024-01-19 02:41:12,284 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/512.bin' 2024-01-19 02:41:12,284 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/512.out' 2024-01-19 02:41:12,285 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/513.bin' 2024-01-19 02:41:12,286 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/513.out' 2024-01-19 02:41:12,286 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/514.bin' 2024-01-19 02:41:12,287 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/514.out' 2024-01-19 02:41:12,287 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/515.bin' 2024-01-19 02:41:12,288 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/515.out' 2024-01-19 02:41:12,289 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/516.bin' 2024-01-19 02:41:12,289 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/516.out' 2024-01-19 02:41:12,290 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/517.bin' 2024-01-19 02:41:12,291 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/517.out' 2024-01-19 02:41:12,291 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/518.bin' 2024-01-19 02:41:12,292 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/518.out' 2024-01-19 02:41:12,292 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/519.bin' 2024-01-19 02:41:12,293 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/519.out' 2024-01-19 02:41:12,294 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/52.bin' 2024-01-19 02:41:12,294 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/52.out' 2024-01-19 02:41:12,295 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/520.bin' 2024-01-19 02:41:12,296 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/520.out' 2024-01-19 02:41:12,296 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/521.bin' 2024-01-19 02:41:12,297 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/521.out' 2024-01-19 02:41:12,297 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/522.bin' 2024-01-19 02:41:12,298 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/522.out' 2024-01-19 02:41:12,298 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/523.bin' 2024-01-19 02:41:12,299 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/523.out' 2024-01-19 02:41:12,300 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/524.bin' 2024-01-19 02:41:12,300 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/524.out' 2024-01-19 02:41:12,301 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/525.bin' 2024-01-19 02:41:12,302 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/525.out' 2024-01-19 02:41:12,302 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/526.bin' 2024-01-19 02:41:12,303 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/526.out' 2024-01-19 02:41:12,303 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/527.bin' 2024-01-19 02:41:12,304 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/527.out' 2024-01-19 02:41:12,305 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/528.bin' 2024-01-19 02:41:12,305 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/528.out' 2024-01-19 02:41:12,306 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/529.bin' 2024-01-19 02:41:12,307 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/529.out' 2024-01-19 02:41:12,307 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/53.bin' 2024-01-19 02:41:12,308 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/53.out' 2024-01-19 02:41:12,308 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/530.bin' 2024-01-19 02:41:12,309 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/530.out' 2024-01-19 02:41:12,310 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/531.bin' 2024-01-19 02:41:12,310 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/531.out' 2024-01-19 02:41:12,311 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/532.bin' 2024-01-19 02:41:12,312 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/532.out' 2024-01-19 02:41:12,312 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/533.bin' 2024-01-19 02:41:12,313 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/533.out' 2024-01-19 02:41:12,313 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/534.bin' 2024-01-19 02:41:12,314 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/534.out' 2024-01-19 02:41:12,314 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/535.bin' 2024-01-19 02:41:12,315 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/535.out' 2024-01-19 02:41:12,316 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/536.bin' 2024-01-19 02:41:12,316 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/536.out' 2024-01-19 02:41:12,317 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/537.bin' 2024-01-19 02:41:12,318 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/537.out' 2024-01-19 02:41:12,318 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/538.bin' 2024-01-19 02:41:12,319 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/538.out' 2024-01-19 02:41:12,319 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/539.bin' 2024-01-19 02:41:12,320 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/539.out' 2024-01-19 02:41:12,321 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/54.bin' 2024-01-19 02:41:12,321 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/54.out' 2024-01-19 02:41:12,322 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/540.bin' 2024-01-19 02:41:12,323 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/540.out' 2024-01-19 02:41:12,323 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/541.bin' 2024-01-19 02:41:12,324 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/541.out' 2024-01-19 02:41:12,324 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/542.bin' 2024-01-19 02:41:12,325 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/542.out' 2024-01-19 02:41:12,326 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/543.bin' 2024-01-19 02:41:12,326 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/543.out' 2024-01-19 02:41:12,327 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/544.bin' 2024-01-19 02:41:12,328 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/544.out' 2024-01-19 02:41:12,328 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/545.bin' 2024-01-19 02:41:12,329 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/545.out' 2024-01-19 02:41:12,329 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/546.bin' 2024-01-19 02:41:12,330 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/546.out' 2024-01-19 02:41:12,331 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/547.bin' 2024-01-19 02:41:12,331 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/547.out' 2024-01-19 02:41:12,332 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/548.bin' 2024-01-19 02:41:12,333 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/548.out' 2024-01-19 02:41:12,333 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/549.bin' 2024-01-19 02:41:12,334 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/549.out' 2024-01-19 02:41:12,334 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/55.bin' 2024-01-19 02:41:12,335 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/55.out' 2024-01-19 02:41:12,335 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/550.bin' 2024-01-19 02:41:12,336 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/550.out' 2024-01-19 02:41:12,337 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/551.bin' 2024-01-19 02:41:12,337 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/551.out' 2024-01-19 02:41:12,338 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/552.bin' 2024-01-19 02:41:12,339 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/552.out' 2024-01-19 02:41:12,339 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/553.bin' 2024-01-19 02:41:12,340 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/553.out' 2024-01-19 02:41:12,340 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/554.bin' 2024-01-19 02:41:12,341 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/554.out' 2024-01-19 02:41:12,342 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/555.bin' 2024-01-19 02:41:12,342 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/555.out' 2024-01-19 02:41:12,343 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/556.bin' 2024-01-19 02:41:12,344 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/556.out' 2024-01-19 02:41:12,344 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/557.bin' 2024-01-19 02:41:12,345 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/557.out' 2024-01-19 02:41:12,345 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/558.bin' 2024-01-19 02:41:12,346 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/558.out' 2024-01-19 02:41:12,347 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/559.bin' 2024-01-19 02:41:12,347 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/559.out' 2024-01-19 02:41:12,348 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/56.bin' 2024-01-19 02:41:12,349 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/56.out' 2024-01-19 02:41:12,349 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/560.bin' 2024-01-19 02:41:12,350 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/560.out' 2024-01-19 02:41:12,351 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/561.bin' 2024-01-19 02:41:12,351 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/561.out' 2024-01-19 02:41:12,352 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/562.bin' 2024-01-19 02:41:12,353 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/562.out' 2024-01-19 02:41:12,353 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/563.bin' 2024-01-19 02:41:12,354 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/563.out' 2024-01-19 02:41:12,354 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/564.bin' 2024-01-19 02:41:12,355 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/564.out' 2024-01-19 02:41:12,356 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/565.bin' 2024-01-19 02:41:12,356 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/565.out' 2024-01-19 02:41:12,357 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/566.bin' 2024-01-19 02:41:12,357 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/566.out' 2024-01-19 02:41:12,358 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/567.bin' 2024-01-19 02:41:12,359 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/567.out' 2024-01-19 02:41:12,359 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/568.bin' 2024-01-19 02:41:12,360 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/568.out' 2024-01-19 02:41:12,360 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/569.bin' 2024-01-19 02:41:12,361 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/569.out' 2024-01-19 02:41:12,362 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/57.bin' 2024-01-19 02:41:12,362 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/57.out' 2024-01-19 02:41:12,363 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/570.bin' 2024-01-19 02:41:12,364 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/570.out' 2024-01-19 02:41:12,364 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/571.bin' 2024-01-19 02:41:12,365 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/571.out' 2024-01-19 02:41:12,365 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/572.bin' 2024-01-19 02:41:12,366 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/572.out' 2024-01-19 02:41:12,367 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/573.bin' 2024-01-19 02:41:12,367 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/573.out' 2024-01-19 02:41:12,368 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/574.bin' 2024-01-19 02:41:12,369 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/574.out' 2024-01-19 02:41:12,369 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/575.bin' 2024-01-19 02:41:12,370 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/575.out' 2024-01-19 02:41:12,370 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/576.bin' 2024-01-19 02:41:12,371 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/576.out' 2024-01-19 02:41:12,372 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/577.bin' 2024-01-19 02:41:12,372 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/577.out' 2024-01-19 02:41:12,373 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/578.bin' 2024-01-19 02:41:12,374 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/578.out' 2024-01-19 02:41:12,374 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/579.bin' 2024-01-19 02:41:12,375 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/579.out' 2024-01-19 02:41:12,375 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/58.bin' 2024-01-19 02:41:12,376 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/58.out' 2024-01-19 02:41:12,376 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/580.bin' 2024-01-19 02:41:12,377 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/580.out' 2024-01-19 02:41:12,378 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/581.bin' 2024-01-19 02:41:12,379 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/581.out' 2024-01-19 02:41:12,379 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/582.bin' 2024-01-19 02:41:12,380 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/582.out' 2024-01-19 02:41:12,380 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/583.bin' 2024-01-19 02:41:12,381 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/583.out' 2024-01-19 02:41:12,382 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/584.bin' 2024-01-19 02:41:12,382 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/584.out' 2024-01-19 02:41:12,383 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/585.bin' 2024-01-19 02:41:12,384 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/585.out' 2024-01-19 02:41:12,384 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/586.bin' 2024-01-19 02:41:12,385 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/586.out' 2024-01-19 02:41:12,385 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/587.bin' 2024-01-19 02:41:12,386 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/587.out' 2024-01-19 02:41:12,387 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/588.bin' 2024-01-19 02:41:12,387 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/588.out' 2024-01-19 02:41:12,388 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/589.bin' 2024-01-19 02:41:12,388 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/589.out' 2024-01-19 02:41:12,389 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/59.bin' 2024-01-19 02:41:12,390 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/59.out' 2024-01-19 02:41:12,390 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/590.bin' 2024-01-19 02:41:12,391 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/590.out' 2024-01-19 02:41:12,391 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/591.bin' 2024-01-19 02:41:12,392 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/591.out' 2024-01-19 02:41:12,393 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/592.bin' 2024-01-19 02:41:12,393 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/592.out' 2024-01-19 02:41:12,394 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/593.bin' 2024-01-19 02:41:12,395 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/593.out' 2024-01-19 02:41:12,395 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/594.bin' 2024-01-19 02:41:12,396 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/594.out' 2024-01-19 02:41:12,396 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/595.bin' 2024-01-19 02:41:12,397 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/595.out' 2024-01-19 02:41:12,398 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/596.bin' 2024-01-19 02:41:12,398 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/596.out' 2024-01-19 02:41:12,399 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/597.bin' 2024-01-19 02:41:12,400 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/597.out' 2024-01-19 02:41:12,400 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/598.bin' 2024-01-19 02:41:12,401 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/598.out' 2024-01-19 02:41:12,401 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/599.bin' 2024-01-19 02:41:12,402 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/599.out' 2024-01-19 02:41:12,402 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/6.bin' 2024-01-19 02:41:12,403 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/6.out' 2024-01-19 02:41:12,404 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/60.bin' 2024-01-19 02:41:12,404 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/60.out' 2024-01-19 02:41:12,405 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/600.bin' 2024-01-19 02:41:12,406 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/600.out' 2024-01-19 02:41:12,406 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/601.bin' 2024-01-19 02:41:12,407 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/601.out' 2024-01-19 02:41:12,407 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/602.bin' 2024-01-19 02:41:12,408 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/602.out' 2024-01-19 02:41:12,409 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/603.bin' 2024-01-19 02:41:12,409 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/603.out' 2024-01-19 02:41:12,410 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/604.bin' 2024-01-19 02:41:12,411 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/604.out' 2024-01-19 02:41:12,411 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/605.bin' 2024-01-19 02:41:12,412 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/605.out' 2024-01-19 02:41:12,412 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/606.bin' 2024-01-19 02:41:12,413 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/606.out' 2024-01-19 02:41:12,414 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/607.bin' 2024-01-19 02:41:12,414 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/607.out' 2024-01-19 02:41:12,415 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/608.bin' 2024-01-19 02:41:12,416 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/608.out' 2024-01-19 02:41:12,416 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/609.bin' 2024-01-19 02:41:12,417 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/609.out' 2024-01-19 02:41:12,417 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/61.bin' 2024-01-19 02:41:12,418 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/61.out' 2024-01-19 02:41:12,418 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/610.bin' 2024-01-19 02:41:12,419 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/610.out' 2024-01-19 02:41:12,420 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/611.bin' 2024-01-19 02:41:12,420 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/611.out' 2024-01-19 02:41:12,421 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/612.bin' 2024-01-19 02:41:12,422 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/612.out' 2024-01-19 02:41:12,422 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/613.bin' 2024-01-19 02:41:12,423 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/613.out' 2024-01-19 02:41:12,423 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/614.bin' 2024-01-19 02:41:12,424 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/614.out' 2024-01-19 02:41:12,425 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/615.bin' 2024-01-19 02:41:12,425 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/615.out' 2024-01-19 02:41:12,426 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/616.bin' 2024-01-19 02:41:12,427 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/616.out' 2024-01-19 02:41:12,427 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/617.bin' 2024-01-19 02:41:12,428 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/617.out' 2024-01-19 02:41:12,428 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/618.bin' 2024-01-19 02:41:12,429 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/618.out' 2024-01-19 02:41:12,430 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/619.bin' 2024-01-19 02:41:12,430 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/619.out' 2024-01-19 02:41:12,431 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/62.bin' 2024-01-19 02:41:12,432 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/62.out' 2024-01-19 02:41:12,432 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/620.bin' 2024-01-19 02:41:12,433 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/620.out' 2024-01-19 02:41:12,433 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/621.bin' 2024-01-19 02:41:12,434 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/621.out' 2024-01-19 02:41:12,434 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/622.bin' 2024-01-19 02:41:12,435 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/622.out' 2024-01-19 02:41:12,436 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/623.bin' 2024-01-19 02:41:12,436 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/623.out' 2024-01-19 02:41:12,437 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/624.bin' 2024-01-19 02:41:12,438 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/624.out' 2024-01-19 02:41:12,438 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/625.bin' 2024-01-19 02:41:12,439 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/625.out' 2024-01-19 02:41:12,439 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/626.bin' 2024-01-19 02:41:12,440 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/626.out' 2024-01-19 02:41:12,441 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/627.bin' 2024-01-19 02:41:12,441 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/627.out' 2024-01-19 02:41:12,442 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/628.bin' 2024-01-19 02:41:12,443 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/628.out' 2024-01-19 02:41:12,443 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/629.bin' 2024-01-19 02:41:12,444 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/629.out' 2024-01-19 02:41:12,444 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/63.bin' 2024-01-19 02:41:12,445 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/63.out' 2024-01-19 02:41:12,446 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/630.bin' 2024-01-19 02:41:12,446 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/630.out' 2024-01-19 02:41:12,447 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/631.bin' 2024-01-19 02:41:12,447 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/631.out' 2024-01-19 02:41:12,448 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/632.bin' 2024-01-19 02:41:12,449 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/632.out' 2024-01-19 02:41:12,449 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/633.bin' 2024-01-19 02:41:12,450 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/633.out' 2024-01-19 02:41:12,450 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/634.bin' 2024-01-19 02:41:12,451 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/634.out' 2024-01-19 02:41:12,452 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/635.bin' 2024-01-19 02:41:12,452 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/635.out' 2024-01-19 02:41:12,453 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/636.bin' 2024-01-19 02:41:12,454 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/636.out' 2024-01-19 02:41:12,454 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/637.bin' 2024-01-19 02:41:12,455 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/637.out' 2024-01-19 02:41:12,455 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/638.bin' 2024-01-19 02:41:12,456 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/638.out' 2024-01-19 02:41:12,457 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/639.bin' 2024-01-19 02:41:12,457 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/639.out' 2024-01-19 02:41:12,458 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/64.bin' 2024-01-19 02:41:12,459 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/64.out' 2024-01-19 02:41:12,459 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/640.bin' 2024-01-19 02:41:12,460 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/640.out' 2024-01-19 02:41:12,460 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/641.bin' 2024-01-19 02:41:12,461 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/641.out' 2024-01-19 02:41:12,462 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/642.bin' 2024-01-19 02:41:12,462 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/642.out' 2024-01-19 02:41:12,463 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/643.bin' 2024-01-19 02:41:12,463 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/643.out' 2024-01-19 02:41:12,464 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/644.bin' 2024-01-19 02:41:12,465 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/644.out' 2024-01-19 02:41:12,465 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/645.bin' 2024-01-19 02:41:12,466 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/645.out' 2024-01-19 02:41:12,466 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/646.bin' 2024-01-19 02:41:12,467 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/646.out' 2024-01-19 02:41:12,468 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/647.bin' 2024-01-19 02:41:12,468 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/647.out' 2024-01-19 02:41:12,469 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/648.bin' 2024-01-19 02:41:12,470 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/648.out' 2024-01-19 02:41:12,470 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/649.bin' 2024-01-19 02:41:12,471 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/649.out' 2024-01-19 02:41:12,471 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/65.bin' 2024-01-19 02:41:12,472 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/65.out' 2024-01-19 02:41:12,473 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/650.bin' 2024-01-19 02:41:12,474 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/650.out' 2024-01-19 02:41:12,474 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/651.bin' 2024-01-19 02:41:12,475 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/651.out' 2024-01-19 02:41:12,475 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/652.bin' 2024-01-19 02:41:12,476 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/652.out' 2024-01-19 02:41:12,477 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/653.bin' 2024-01-19 02:41:12,477 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/653.out' 2024-01-19 02:41:12,478 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/654.bin' 2024-01-19 02:41:12,479 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/654.out' 2024-01-19 02:41:12,479 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/655.bin' 2024-01-19 02:41:12,480 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/655.out' 2024-01-19 02:41:12,480 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/656.bin' 2024-01-19 02:41:12,481 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/656.out' 2024-01-19 02:41:12,482 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/657.bin' 2024-01-19 02:41:12,482 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/657.out' 2024-01-19 02:41:12,483 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/658.bin' 2024-01-19 02:41:12,484 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/658.out' 2024-01-19 02:41:12,484 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/659.bin' 2024-01-19 02:41:12,485 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/659.out' 2024-01-19 02:41:12,485 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/66.bin' 2024-01-19 02:41:12,486 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/66.out' 2024-01-19 02:41:12,487 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/660.bin' 2024-01-19 02:41:12,487 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/660.out' 2024-01-19 02:41:12,488 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/661.bin' 2024-01-19 02:41:12,488 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/661.out' 2024-01-19 02:41:12,489 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/662.bin' 2024-01-19 02:41:12,490 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/662.out' 2024-01-19 02:41:12,490 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/663.bin' 2024-01-19 02:41:12,491 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/663.out' 2024-01-19 02:41:12,491 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/664.bin' 2024-01-19 02:41:12,492 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/664.out' 2024-01-19 02:41:12,493 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/665.bin' 2024-01-19 02:41:12,493 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/665.out' 2024-01-19 02:41:12,494 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/666.bin' 2024-01-19 02:41:12,495 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/666.out' 2024-01-19 02:41:12,495 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/667.bin' 2024-01-19 02:41:12,496 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/667.out' 2024-01-19 02:41:12,496 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/668.bin' 2024-01-19 02:41:12,497 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/668.out' 2024-01-19 02:41:12,498 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/669.bin' 2024-01-19 02:41:12,498 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/669.out' 2024-01-19 02:41:12,499 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/67.bin' 2024-01-19 02:41:12,500 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/67.out' 2024-01-19 02:41:12,500 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/670.bin' 2024-01-19 02:41:12,501 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/670.out' 2024-01-19 02:41:12,501 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/671.bin' 2024-01-19 02:41:12,502 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/671.out' 2024-01-19 02:41:12,503 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/672.bin' 2024-01-19 02:41:12,503 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/672.out' 2024-01-19 02:41:12,504 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/673.bin' 2024-01-19 02:41:12,505 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/673.out' 2024-01-19 02:41:12,505 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/674.bin' 2024-01-19 02:41:12,506 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/674.out' 2024-01-19 02:41:12,506 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/675.bin' 2024-01-19 02:41:12,507 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/675.out' 2024-01-19 02:41:12,508 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/676.bin' 2024-01-19 02:41:12,508 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/676.out' 2024-01-19 02:41:12,509 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/677.bin' 2024-01-19 02:41:12,509 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/677.out' 2024-01-19 02:41:12,510 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/678.bin' 2024-01-19 02:41:12,511 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/678.out' 2024-01-19 02:41:12,511 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/679.bin' 2024-01-19 02:41:12,512 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/679.out' 2024-01-19 02:41:12,512 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/68.bin' 2024-01-19 02:41:12,513 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/68.out' 2024-01-19 02:41:12,514 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/680.bin' 2024-01-19 02:41:12,514 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/680.out' 2024-01-19 02:41:12,515 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/681.bin' 2024-01-19 02:41:12,516 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/681.out' 2024-01-19 02:41:12,516 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/682.bin' 2024-01-19 02:41:12,517 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/682.out' 2024-01-19 02:41:12,517 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/683.bin' 2024-01-19 02:41:12,518 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/683.out' 2024-01-19 02:41:12,519 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/684.bin' 2024-01-19 02:41:12,519 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/684.out' 2024-01-19 02:41:12,520 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/685.bin' 2024-01-19 02:41:12,521 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/685.out' 2024-01-19 02:41:12,521 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/686.bin' 2024-01-19 02:41:12,522 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/686.out' 2024-01-19 02:41:12,522 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/687.bin' 2024-01-19 02:41:12,523 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/687.out' 2024-01-19 02:41:12,524 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/688.bin' 2024-01-19 02:41:12,524 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/688.out' 2024-01-19 02:41:12,525 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/689.bin' 2024-01-19 02:41:12,526 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/689.out' 2024-01-19 02:41:12,526 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/69.bin' 2024-01-19 02:41:12,527 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/69.out' 2024-01-19 02:41:12,527 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/690.bin' 2024-01-19 02:41:12,528 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/690.out' 2024-01-19 02:41:12,529 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/691.bin' 2024-01-19 02:41:12,529 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/691.out' 2024-01-19 02:41:12,530 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/692.bin' 2024-01-19 02:41:12,530 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/692.out' 2024-01-19 02:41:12,531 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/693.bin' 2024-01-19 02:41:12,532 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/693.out' 2024-01-19 02:41:12,532 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/694.bin' 2024-01-19 02:41:12,533 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/694.out' 2024-01-19 02:41:12,533 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/695.bin' 2024-01-19 02:41:12,534 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/695.out' 2024-01-19 02:41:12,535 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/696.bin' 2024-01-19 02:41:12,536 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/696.out' 2024-01-19 02:41:12,536 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/697.bin' 2024-01-19 02:41:12,537 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/697.out' 2024-01-19 02:41:12,537 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/698.bin' 2024-01-19 02:41:12,538 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/698.out' 2024-01-19 02:41:12,539 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/699.bin' 2024-01-19 02:41:12,539 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/699.out' 2024-01-19 02:41:12,540 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/7.bin' 2024-01-19 02:41:12,540 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/7.out' 2024-01-19 02:41:12,541 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/70.bin' 2024-01-19 02:41:12,542 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/70.out' 2024-01-19 02:41:12,542 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/700.bin' 2024-01-19 02:41:12,543 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/700.out' 2024-01-19 02:41:12,543 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/701.bin' 2024-01-19 02:41:12,544 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/701.out' 2024-01-19 02:41:12,545 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/702.bin' 2024-01-19 02:41:12,545 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/702.out' 2024-01-19 02:41:12,546 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/703.bin' 2024-01-19 02:41:12,547 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/703.out' 2024-01-19 02:41:12,547 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/704.bin' 2024-01-19 02:41:12,548 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/704.out' 2024-01-19 02:41:12,548 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/705.bin' 2024-01-19 02:41:12,549 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/705.out' 2024-01-19 02:41:12,550 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/706.bin' 2024-01-19 02:41:12,550 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/706.out' 2024-01-19 02:41:12,551 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/707.bin' 2024-01-19 02:41:12,552 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/707.out' 2024-01-19 02:41:12,552 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/708.bin' 2024-01-19 02:41:12,553 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/708.out' 2024-01-19 02:41:12,553 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/709.bin' 2024-01-19 02:41:12,554 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/709.out' 2024-01-19 02:41:12,554 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/71.bin' 2024-01-19 02:41:12,555 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/71.out' 2024-01-19 02:41:12,556 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/710.bin' 2024-01-19 02:41:12,556 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/710.out' 2024-01-19 02:41:12,557 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/711.bin' 2024-01-19 02:41:12,558 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/711.out' 2024-01-19 02:41:12,558 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/712.bin' 2024-01-19 02:41:12,559 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/712.out' 2024-01-19 02:41:12,559 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/713.bin' 2024-01-19 02:41:12,560 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/713.out' 2024-01-19 02:41:12,561 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/714.bin' 2024-01-19 02:41:12,561 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/714.out' 2024-01-19 02:41:12,562 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/715.bin' 2024-01-19 02:41:12,563 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/715.out' 2024-01-19 02:41:12,563 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/716.bin' 2024-01-19 02:41:12,564 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/716.out' 2024-01-19 02:41:12,564 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/717.bin' 2024-01-19 02:41:12,565 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/717.out' 2024-01-19 02:41:12,566 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/718.bin' 2024-01-19 02:41:12,566 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/718.out' 2024-01-19 02:41:12,567 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/719.bin' 2024-01-19 02:41:12,568 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/719.out' 2024-01-19 02:41:12,568 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/72.bin' 2024-01-19 02:41:12,569 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/72.out' 2024-01-19 02:41:12,569 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/720.bin' 2024-01-19 02:41:12,570 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/720.out' 2024-01-19 02:41:12,570 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/721.bin' 2024-01-19 02:41:12,571 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/721.out' 2024-01-19 02:41:12,572 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/722.bin' 2024-01-19 02:41:12,572 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/722.out' 2024-01-19 02:41:12,573 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/723.bin' 2024-01-19 02:41:12,574 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/723.out' 2024-01-19 02:41:12,574 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/724.bin' 2024-01-19 02:41:12,575 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/724.out' 2024-01-19 02:41:12,575 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/725.bin' 2024-01-19 02:41:12,576 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/725.out' 2024-01-19 02:41:12,577 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/726.bin' 2024-01-19 02:41:12,577 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/726.out' 2024-01-19 02:41:12,578 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/727.bin' 2024-01-19 02:41:12,579 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/727.out' 2024-01-19 02:41:12,579 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/728.bin' 2024-01-19 02:41:12,580 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/728.out' 2024-01-19 02:41:12,580 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/729.bin' 2024-01-19 02:41:12,581 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/729.out' 2024-01-19 02:41:12,582 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/73.bin' 2024-01-19 02:41:12,582 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/73.out' 2024-01-19 02:41:12,583 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/730.bin' 2024-01-19 02:41:12,583 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/730.out' 2024-01-19 02:41:12,584 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/731.bin' 2024-01-19 02:41:12,585 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/731.out' 2024-01-19 02:41:12,585 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/732.bin' 2024-01-19 02:41:12,586 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/732.out' 2024-01-19 02:41:12,586 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/733.bin' 2024-01-19 02:41:12,587 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/733.out' 2024-01-19 02:41:12,588 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/734.bin' 2024-01-19 02:41:12,588 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/734.out' 2024-01-19 02:41:12,589 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/735.bin' 2024-01-19 02:41:12,590 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/735.out' 2024-01-19 02:41:12,590 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/736.bin' 2024-01-19 02:41:12,591 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/736.out' 2024-01-19 02:41:12,591 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/737.bin' 2024-01-19 02:41:12,592 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/737.out' 2024-01-19 02:41:12,593 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/738.bin' 2024-01-19 02:41:12,593 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/738.out' 2024-01-19 02:41:12,594 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/739.bin' 2024-01-19 02:41:12,595 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/739.out' 2024-01-19 02:41:12,595 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/74.bin' 2024-01-19 02:41:12,596 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/74.out' 2024-01-19 02:41:12,596 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/740.bin' 2024-01-19 02:41:12,597 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/740.out' 2024-01-19 02:41:12,597 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/741.bin' 2024-01-19 02:41:12,599 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/741.out' 2024-01-19 02:41:12,599 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/742.bin' 2024-01-19 02:41:12,600 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/742.out' 2024-01-19 02:41:12,600 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/743.bin' 2024-01-19 02:41:12,601 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/743.out' 2024-01-19 02:41:12,602 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/744.bin' 2024-01-19 02:41:12,602 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/744.out' 2024-01-19 02:41:12,603 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/745.bin' 2024-01-19 02:41:12,604 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/745.out' 2024-01-19 02:41:12,604 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/746.bin' 2024-01-19 02:41:12,605 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/746.out' 2024-01-19 02:41:12,605 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/747.bin' 2024-01-19 02:41:12,606 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/747.out' 2024-01-19 02:41:12,606 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/748.bin' 2024-01-19 02:41:12,607 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/748.out' 2024-01-19 02:41:12,608 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/749.bin' 2024-01-19 02:41:12,608 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/749.out' 2024-01-19 02:41:12,609 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/75.bin' 2024-01-19 02:41:12,610 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/75.out' 2024-01-19 02:41:12,610 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/750.bin' 2024-01-19 02:41:12,611 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/750.out' 2024-01-19 02:41:12,611 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/751.bin' 2024-01-19 02:41:12,612 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/751.out' 2024-01-19 02:41:12,613 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/752.bin' 2024-01-19 02:41:12,613 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/752.out' 2024-01-19 02:41:12,614 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/753.bin' 2024-01-19 02:41:12,615 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/753.out' 2024-01-19 02:41:12,615 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/754.bin' 2024-01-19 02:41:12,616 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/754.out' 2024-01-19 02:41:12,616 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/755.bin' 2024-01-19 02:41:12,617 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/755.out' 2024-01-19 02:41:12,618 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/756.bin' 2024-01-19 02:41:12,618 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/756.out' 2024-01-19 02:41:12,619 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/757.bin' 2024-01-19 02:41:12,620 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/757.out' 2024-01-19 02:41:12,620 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/758.bin' 2024-01-19 02:41:12,621 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/758.out' 2024-01-19 02:41:12,621 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/759.bin' 2024-01-19 02:41:12,622 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/759.out' 2024-01-19 02:41:12,622 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/76.bin' 2024-01-19 02:41:12,623 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/76.out' 2024-01-19 02:41:12,624 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/760.bin' 2024-01-19 02:41:12,624 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/760.out' 2024-01-19 02:41:12,625 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/761.bin' 2024-01-19 02:41:12,626 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/761.out' 2024-01-19 02:41:12,626 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/762.bin' 2024-01-19 02:41:12,627 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/762.out' 2024-01-19 02:41:12,627 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/763.bin' 2024-01-19 02:41:12,628 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/763.out' 2024-01-19 02:41:12,629 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/764.bin' 2024-01-19 02:41:12,629 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/764.out' 2024-01-19 02:41:12,630 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/765.bin' 2024-01-19 02:41:12,631 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/765.out' 2024-01-19 02:41:12,631 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/766.bin' 2024-01-19 02:41:12,632 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/766.out' 2024-01-19 02:41:12,632 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/767.bin' 2024-01-19 02:41:12,633 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/767.out' 2024-01-19 02:41:12,634 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/768.bin' 2024-01-19 02:41:12,634 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/768.out' 2024-01-19 02:41:12,635 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/769.bin' 2024-01-19 02:41:12,636 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/769.out' 2024-01-19 02:41:12,636 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/77.bin' 2024-01-19 02:41:12,637 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/77.out' 2024-01-19 02:41:12,637 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/770.bin' 2024-01-19 02:41:12,638 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/770.out' 2024-01-19 02:41:12,639 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/771.bin' 2024-01-19 02:41:12,639 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/771.out' 2024-01-19 02:41:12,640 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/772.bin' 2024-01-19 02:41:12,640 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/772.out' 2024-01-19 02:41:12,641 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/773.bin' 2024-01-19 02:41:12,642 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/773.out' 2024-01-19 02:41:12,642 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/774.bin' 2024-01-19 02:41:12,643 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/774.out' 2024-01-19 02:41:12,643 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/775.bin' 2024-01-19 02:41:12,644 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/775.out' 2024-01-19 02:41:12,645 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/776.bin' 2024-01-19 02:41:12,645 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/776.out' 2024-01-19 02:41:12,646 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/777.bin' 2024-01-19 02:41:12,647 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/777.out' 2024-01-19 02:41:12,647 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/778.bin' 2024-01-19 02:41:12,648 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/778.out' 2024-01-19 02:41:12,648 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/779.bin' 2024-01-19 02:41:12,649 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/779.out' 2024-01-19 02:41:12,650 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/78.bin' 2024-01-19 02:41:12,650 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/78.out' 2024-01-19 02:41:12,651 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/780.bin' 2024-01-19 02:41:12,652 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/780.out' 2024-01-19 02:41:12,652 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/781.bin' 2024-01-19 02:41:12,653 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/781.out' 2024-01-19 02:41:12,653 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/782.bin' 2024-01-19 02:41:12,654 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/782.out' 2024-01-19 02:41:12,654 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/783.bin' 2024-01-19 02:41:12,655 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/783.out' 2024-01-19 02:41:12,656 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/784.bin' 2024-01-19 02:41:12,656 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/784.out' 2024-01-19 02:41:12,657 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/785.bin' 2024-01-19 02:41:12,658 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/785.out' 2024-01-19 02:41:12,658 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/786.bin' 2024-01-19 02:41:12,659 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/786.out' 2024-01-19 02:41:12,659 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/787.bin' 2024-01-19 02:41:12,660 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/787.out' 2024-01-19 02:41:12,661 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/788.bin' 2024-01-19 02:41:12,661 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/788.out' 2024-01-19 02:41:12,662 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/789.bin' 2024-01-19 02:41:12,663 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/789.out' 2024-01-19 02:41:12,663 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/79.bin' 2024-01-19 02:41:12,664 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/79.out' 2024-01-19 02:41:12,664 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/790.bin' 2024-01-19 02:41:12,665 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/790.out' 2024-01-19 02:41:12,666 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/791.bin' 2024-01-19 02:41:12,666 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/791.out' 2024-01-19 02:41:12,667 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/792.bin' 2024-01-19 02:41:12,668 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/792.out' 2024-01-19 02:41:12,668 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/793.bin' 2024-01-19 02:41:12,669 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/793.out' 2024-01-19 02:41:12,669 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/794.bin' 2024-01-19 02:41:12,670 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/794.out' 2024-01-19 02:41:12,671 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/795.bin' 2024-01-19 02:41:12,671 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/795.out' 2024-01-19 02:41:12,672 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/796.bin' 2024-01-19 02:41:12,672 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/796.out' 2024-01-19 02:41:12,673 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/797.bin' 2024-01-19 02:41:12,674 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/797.out' 2024-01-19 02:41:12,674 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/798.bin' 2024-01-19 02:41:12,675 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/798.out' 2024-01-19 02:41:12,675 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/799.bin' 2024-01-19 02:41:12,676 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/799.out' 2024-01-19 02:41:12,677 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/8.bin' 2024-01-19 02:41:12,677 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/8.out' 2024-01-19 02:41:12,678 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/80.bin' 2024-01-19 02:41:12,679 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/80.out' 2024-01-19 02:41:12,679 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/800.bin' 2024-01-19 02:41:12,680 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/800.out' 2024-01-19 02:41:12,680 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/801.bin' 2024-01-19 02:41:12,681 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/801.out' 2024-01-19 02:41:12,682 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/802.bin' 2024-01-19 02:41:12,682 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/802.out' 2024-01-19 02:41:12,683 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/803.bin' 2024-01-19 02:41:12,684 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/803.out' 2024-01-19 02:41:12,684 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/804.bin' 2024-01-19 02:41:12,685 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/804.out' 2024-01-19 02:41:12,685 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/805.bin' 2024-01-19 02:41:12,686 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/805.out' 2024-01-19 02:41:12,687 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/806.bin' 2024-01-19 02:41:12,687 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/806.out' 2024-01-19 02:41:12,688 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/807.bin' 2024-01-19 02:41:12,689 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/807.out' 2024-01-19 02:41:12,689 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/808.bin' 2024-01-19 02:41:12,690 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/808.out' 2024-01-19 02:41:12,690 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/809.bin' 2024-01-19 02:41:12,691 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/809.out' 2024-01-19 02:41:12,691 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/81.bin' 2024-01-19 02:41:12,692 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/81.out' 2024-01-19 02:41:12,693 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/810.bin' 2024-01-19 02:41:12,693 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/810.out' 2024-01-19 02:41:12,694 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/811.bin' 2024-01-19 02:41:12,695 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/811.out' 2024-01-19 02:41:12,695 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/812.bin' 2024-01-19 02:41:12,696 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/812.out' 2024-01-19 02:41:12,696 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/813.bin' 2024-01-19 02:41:12,697 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/813.out' 2024-01-19 02:41:12,698 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/814.bin' 2024-01-19 02:41:12,698 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/814.out' 2024-01-19 02:41:12,699 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/815.bin' 2024-01-19 02:41:12,700 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/815.out' 2024-01-19 02:41:12,700 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/816.bin' 2024-01-19 02:41:12,701 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/816.out' 2024-01-19 02:41:12,701 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/817.bin' 2024-01-19 02:41:12,702 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/817.out' 2024-01-19 02:41:12,703 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/818.bin' 2024-01-19 02:41:12,703 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/818.out' 2024-01-19 02:41:12,704 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/819.bin' 2024-01-19 02:41:12,705 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/819.out' 2024-01-19 02:41:12,705 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/82.bin' 2024-01-19 02:41:12,706 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/82.out' 2024-01-19 02:41:12,706 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/820.bin' 2024-01-19 02:41:12,707 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/820.out' 2024-01-19 02:41:12,707 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/821.bin' 2024-01-19 02:41:12,708 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/821.out' 2024-01-19 02:41:12,709 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/822.bin' 2024-01-19 02:41:12,709 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/822.out' 2024-01-19 02:41:12,710 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/823.bin' 2024-01-19 02:41:12,711 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/823.out' 2024-01-19 02:41:12,711 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/824.bin' 2024-01-19 02:41:12,712 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/824.out' 2024-01-19 02:41:12,712 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/825.bin' 2024-01-19 02:41:12,713 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/825.out' 2024-01-19 02:41:12,714 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/826.bin' 2024-01-19 02:41:12,714 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/826.out' 2024-01-19 02:41:12,715 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/827.bin' 2024-01-19 02:41:12,716 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/827.out' 2024-01-19 02:41:12,716 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/828.bin' 2024-01-19 02:41:12,717 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/828.out' 2024-01-19 02:41:12,717 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/829.bin' 2024-01-19 02:41:12,718 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/829.out' 2024-01-19 02:41:12,719 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/83.bin' 2024-01-19 02:41:12,719 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/83.out' 2024-01-19 02:41:12,720 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/830.bin' 2024-01-19 02:41:12,721 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/830.out' 2024-01-19 02:41:12,721 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/831.bin' 2024-01-19 02:41:12,722 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/831.out' 2024-01-19 02:41:12,722 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/832.bin' 2024-01-19 02:41:12,723 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/832.out' 2024-01-19 02:41:12,724 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/833.bin' 2024-01-19 02:41:12,725 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/833.out' 2024-01-19 02:41:12,725 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/834.bin' 2024-01-19 02:41:12,726 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/834.out' 2024-01-19 02:41:12,726 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/835.bin' 2024-01-19 02:41:12,727 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/835.out' 2024-01-19 02:41:12,728 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/836.bin' 2024-01-19 02:41:12,728 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/836.out' 2024-01-19 02:41:12,729 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/837.bin' 2024-01-19 02:41:12,730 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/837.out' 2024-01-19 02:41:12,730 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/838.bin' 2024-01-19 02:41:12,731 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/838.out' 2024-01-19 02:41:12,731 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/839.bin' 2024-01-19 02:41:12,732 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/839.out' 2024-01-19 02:41:12,733 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/84.bin' 2024-01-19 02:41:12,733 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/84.out' 2024-01-19 02:41:12,734 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/840.bin' 2024-01-19 02:41:12,734 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/840.out' 2024-01-19 02:41:12,735 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/841.bin' 2024-01-19 02:41:12,736 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/841.out' 2024-01-19 02:41:12,736 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/842.bin' 2024-01-19 02:41:12,737 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/842.out' 2024-01-19 02:41:12,738 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/843.bin' 2024-01-19 02:41:12,738 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/843.out' 2024-01-19 02:41:12,739 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/844.bin' 2024-01-19 02:41:12,740 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/844.out' 2024-01-19 02:41:12,740 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/845.bin' 2024-01-19 02:41:12,741 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/845.out' 2024-01-19 02:41:12,741 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/846.bin' 2024-01-19 02:41:12,742 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/846.out' 2024-01-19 02:41:12,742 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/847.bin' 2024-01-19 02:41:12,743 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/847.out' 2024-01-19 02:41:12,744 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/848.bin' 2024-01-19 02:41:12,744 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/848.out' 2024-01-19 02:41:12,745 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/849.bin' 2024-01-19 02:41:12,746 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/849.out' 2024-01-19 02:41:12,746 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/85.bin' 2024-01-19 02:41:12,747 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/85.out' 2024-01-19 02:41:12,747 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/850.bin' 2024-01-19 02:41:12,748 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/850.out' 2024-01-19 02:41:12,749 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/851.bin' 2024-01-19 02:41:12,749 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/851.out' 2024-01-19 02:41:12,750 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/852.bin' 2024-01-19 02:41:12,751 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/852.out' 2024-01-19 02:41:12,751 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/853.bin' 2024-01-19 02:41:12,752 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/853.out' 2024-01-19 02:41:12,752 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/854.bin' 2024-01-19 02:41:12,753 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/854.out' 2024-01-19 02:41:12,754 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/855.bin' 2024-01-19 02:41:12,754 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/855.out' 2024-01-19 02:41:12,755 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/856.bin' 2024-01-19 02:41:12,756 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/856.out' 2024-01-19 02:41:12,756 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/857.bin' 2024-01-19 02:41:12,757 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/857.out' 2024-01-19 02:41:12,757 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/858.bin' 2024-01-19 02:41:12,758 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/858.out' 2024-01-19 02:41:12,759 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/859.bin' 2024-01-19 02:41:12,759 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/859.out' 2024-01-19 02:41:12,760 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/86.bin' 2024-01-19 02:41:12,760 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/86.out' 2024-01-19 02:41:12,761 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/860.bin' 2024-01-19 02:41:12,762 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/860.out' 2024-01-19 02:41:12,762 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/861.bin' 2024-01-19 02:41:12,763 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/861.out' 2024-01-19 02:41:12,763 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/862.bin' 2024-01-19 02:41:12,764 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/862.out' 2024-01-19 02:41:12,765 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/863.bin' 2024-01-19 02:41:12,765 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/863.out' 2024-01-19 02:41:12,766 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/864.bin' 2024-01-19 02:41:12,767 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/864.out' 2024-01-19 02:41:12,767 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/865.bin' 2024-01-19 02:41:12,768 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/865.out' 2024-01-19 02:41:12,768 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/866.bin' 2024-01-19 02:41:12,769 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/866.out' 2024-01-19 02:41:12,770 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/867.bin' 2024-01-19 02:41:12,770 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/867.out' 2024-01-19 02:41:12,771 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/868.bin' 2024-01-19 02:41:12,772 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/868.out' 2024-01-19 02:41:12,772 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/869.bin' 2024-01-19 02:41:12,773 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/869.out' 2024-01-19 02:41:12,773 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/87.bin' 2024-01-19 02:41:12,774 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/87.out' 2024-01-19 02:41:12,775 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/870.bin' 2024-01-19 02:41:12,775 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/870.out' 2024-01-19 02:41:12,776 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/871.bin' 2024-01-19 02:41:12,777 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/871.out' 2024-01-19 02:41:12,777 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/872.bin' 2024-01-19 02:41:12,778 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/872.out' 2024-01-19 02:41:12,778 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/873.bin' 2024-01-19 02:41:12,779 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/873.out' 2024-01-19 02:41:12,780 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/874.bin' 2024-01-19 02:41:12,780 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/874.out' 2024-01-19 02:41:12,781 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/875.bin' 2024-01-19 02:41:12,782 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/875.out' 2024-01-19 02:41:12,782 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/876.bin' 2024-01-19 02:41:12,783 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/876.out' 2024-01-19 02:41:12,783 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/877.bin' 2024-01-19 02:41:12,784 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/877.out' 2024-01-19 02:41:12,784 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/878.bin' 2024-01-19 02:41:12,785 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/878.out' 2024-01-19 02:41:12,786 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/879.bin' 2024-01-19 02:41:12,786 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/879.out' 2024-01-19 02:41:12,787 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/88.bin' 2024-01-19 02:41:12,788 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/88.out' 2024-01-19 02:41:12,788 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/880.bin' 2024-01-19 02:41:12,789 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/880.out' 2024-01-19 02:41:12,789 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/881.bin' 2024-01-19 02:41:12,790 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/881.out' 2024-01-19 02:41:12,791 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/882.bin' 2024-01-19 02:41:12,791 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/882.out' 2024-01-19 02:41:12,792 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/883.bin' 2024-01-19 02:41:12,793 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/883.out' 2024-01-19 02:41:12,793 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/884.bin' 2024-01-19 02:41:12,794 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/884.out' 2024-01-19 02:41:12,794 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/885.bin' 2024-01-19 02:41:12,795 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/885.out' 2024-01-19 02:41:12,796 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/886.bin' 2024-01-19 02:41:12,796 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/886.out' 2024-01-19 02:41:12,797 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/887.bin' 2024-01-19 02:41:12,798 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/887.out' 2024-01-19 02:41:12,798 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/888.bin' 2024-01-19 02:41:12,799 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/888.out' 2024-01-19 02:41:12,799 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/889.bin' 2024-01-19 02:41:12,800 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/889.out' 2024-01-19 02:41:12,801 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/89.bin' 2024-01-19 02:41:12,801 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/89.out' 2024-01-19 02:41:12,802 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/890.bin' 2024-01-19 02:41:12,802 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/890.out' 2024-01-19 02:41:12,803 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/891.bin' 2024-01-19 02:41:12,804 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/891.out' 2024-01-19 02:41:12,804 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/892.bin' 2024-01-19 02:41:12,805 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/892.out' 2024-01-19 02:41:12,805 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/893.bin' 2024-01-19 02:41:12,806 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/893.out' 2024-01-19 02:41:12,807 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/894.bin' 2024-01-19 02:41:12,807 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/894.out' 2024-01-19 02:41:12,808 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/895.bin' 2024-01-19 02:41:12,809 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/895.out' 2024-01-19 02:41:12,809 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/896.bin' 2024-01-19 02:41:12,810 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/896.out' 2024-01-19 02:41:12,810 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/897.bin' 2024-01-19 02:41:12,811 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/897.out' 2024-01-19 02:41:12,812 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/898.bin' 2024-01-19 02:41:12,812 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/898.out' 2024-01-19 02:41:12,813 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/899.bin' 2024-01-19 02:41:12,814 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/899.out' 2024-01-19 02:41:12,814 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/9.bin' 2024-01-19 02:41:12,815 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/9.out' 2024-01-19 02:41:12,815 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/90.bin' 2024-01-19 02:41:12,816 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/90.out' 2024-01-19 02:41:12,817 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/900.bin' 2024-01-19 02:41:12,817 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/900.out' 2024-01-19 02:41:12,818 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/901.bin' 2024-01-19 02:41:12,818 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/901.out' 2024-01-19 02:41:12,819 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/902.bin' 2024-01-19 02:41:12,820 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/902.out' 2024-01-19 02:41:12,820 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/903.bin' 2024-01-19 02:41:12,821 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/903.out' 2024-01-19 02:41:12,821 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/904.bin' 2024-01-19 02:41:12,822 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/904.out' 2024-01-19 02:41:12,823 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/905.bin' 2024-01-19 02:41:12,823 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/905.out' 2024-01-19 02:41:12,824 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/906.bin' 2024-01-19 02:41:12,825 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/906.out' 2024-01-19 02:41:12,825 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/907.bin' 2024-01-19 02:41:12,826 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/907.out' 2024-01-19 02:41:12,826 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/908.bin' 2024-01-19 02:41:12,827 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/908.out' 2024-01-19 02:41:12,828 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/909.bin' 2024-01-19 02:41:12,828 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/909.out' 2024-01-19 02:41:12,829 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/91.bin' 2024-01-19 02:41:12,830 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/91.out' 2024-01-19 02:41:12,830 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/910.bin' 2024-01-19 02:41:12,831 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/910.out' 2024-01-19 02:41:12,831 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/911.bin' 2024-01-19 02:41:12,832 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/911.out' 2024-01-19 02:41:12,832 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/912.bin' 2024-01-19 02:41:12,833 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/912.out' 2024-01-19 02:41:12,834 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/913.bin' 2024-01-19 02:41:12,834 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/913.out' 2024-01-19 02:41:12,835 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/914.bin' 2024-01-19 02:41:12,836 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/914.out' 2024-01-19 02:41:12,836 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/915.bin' 2024-01-19 02:41:12,837 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/915.out' 2024-01-19 02:41:12,837 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/916.bin' 2024-01-19 02:41:12,838 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/916.out' 2024-01-19 02:41:12,839 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/917.bin' 2024-01-19 02:41:12,839 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/917.out' 2024-01-19 02:41:12,840 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/918.bin' 2024-01-19 02:41:12,841 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/918.out' 2024-01-19 02:41:12,841 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/919.bin' 2024-01-19 02:41:12,842 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/919.out' 2024-01-19 02:41:12,842 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/92.bin' 2024-01-19 02:41:12,843 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/92.out' 2024-01-19 02:41:12,844 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/920.bin' 2024-01-19 02:41:12,844 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/920.out' 2024-01-19 02:41:12,845 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/921.bin' 2024-01-19 02:41:12,846 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/921.out' 2024-01-19 02:41:12,846 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/922.bin' 2024-01-19 02:41:12,847 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/922.out' 2024-01-19 02:41:12,847 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/923.bin' 2024-01-19 02:41:12,848 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/923.out' 2024-01-19 02:41:12,849 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/924.bin' 2024-01-19 02:41:12,850 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/924.out' 2024-01-19 02:41:12,850 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/925.bin' 2024-01-19 02:41:12,851 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/925.out' 2024-01-19 02:41:12,851 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/926.bin' 2024-01-19 02:41:12,852 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/926.out' 2024-01-19 02:41:12,853 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/927.bin' 2024-01-19 02:41:12,853 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/927.out' 2024-01-19 02:41:12,854 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/928.bin' 2024-01-19 02:41:12,855 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/928.out' 2024-01-19 02:41:12,855 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/929.bin' 2024-01-19 02:41:12,856 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/929.out' 2024-01-19 02:41:12,856 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/93.bin' 2024-01-19 02:41:12,857 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/93.out' 2024-01-19 02:41:12,858 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/930.bin' 2024-01-19 02:41:12,858 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/930.out' 2024-01-19 02:41:12,859 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/931.bin' 2024-01-19 02:41:12,859 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/931.out' 2024-01-19 02:41:12,860 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/932.bin' 2024-01-19 02:41:12,861 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/932.out' 2024-01-19 02:41:12,861 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/933.bin' 2024-01-19 02:41:12,862 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/933.out' 2024-01-19 02:41:12,862 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/934.bin' 2024-01-19 02:41:12,863 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/934.out' 2024-01-19 02:41:12,864 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/935.bin' 2024-01-19 02:41:12,864 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/935.out' 2024-01-19 02:41:12,865 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/936.bin' 2024-01-19 02:41:12,866 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/936.out' 2024-01-19 02:41:12,866 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/937.bin' 2024-01-19 02:41:12,867 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/937.out' 2024-01-19 02:41:12,867 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/938.bin' 2024-01-19 02:41:12,868 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/938.out' 2024-01-19 02:41:12,869 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/939.bin' 2024-01-19 02:41:12,869 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/939.out' 2024-01-19 02:41:12,870 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/94.bin' 2024-01-19 02:41:12,871 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/94.out' 2024-01-19 02:41:12,871 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/940.bin' 2024-01-19 02:41:12,872 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/940.out' 2024-01-19 02:41:12,872 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/941.bin' 2024-01-19 02:41:12,873 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/941.out' 2024-01-19 02:41:12,874 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/942.bin' 2024-01-19 02:41:12,874 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/942.out' 2024-01-19 02:41:12,875 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/943.bin' 2024-01-19 02:41:12,876 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/943.out' 2024-01-19 02:41:12,876 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/944.bin' 2024-01-19 02:41:12,877 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/944.out' 2024-01-19 02:41:12,877 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/945.bin' 2024-01-19 02:41:12,878 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/945.out' 2024-01-19 02:41:12,879 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/946.bin' 2024-01-19 02:41:12,879 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/946.out' 2024-01-19 02:41:12,880 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/947.bin' 2024-01-19 02:41:12,881 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/947.out' 2024-01-19 02:41:12,881 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/948.bin' 2024-01-19 02:41:12,882 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/948.out' 2024-01-19 02:41:12,882 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/949.bin' 2024-01-19 02:41:12,883 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/949.out' 2024-01-19 02:41:12,884 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/95.bin' 2024-01-19 02:41:12,884 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/95.out' 2024-01-19 02:41:12,885 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/950.bin' 2024-01-19 02:41:12,886 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/950.out' 2024-01-19 02:41:12,886 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/951.bin' 2024-01-19 02:41:12,887 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/951.out' 2024-01-19 02:41:12,887 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/952.bin' 2024-01-19 02:41:12,888 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/952.out' 2024-01-19 02:41:12,888 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/953.bin' 2024-01-19 02:41:12,889 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/953.out' 2024-01-19 02:41:12,890 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/954.bin' 2024-01-19 02:41:12,890 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/954.out' 2024-01-19 02:41:12,891 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/955.bin' 2024-01-19 02:41:12,892 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/955.out' 2024-01-19 02:41:12,892 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/956.bin' 2024-01-19 02:41:12,893 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/956.out' 2024-01-19 02:41:12,893 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/957.bin' 2024-01-19 02:41:12,894 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/957.out' 2024-01-19 02:41:12,895 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/958.bin' 2024-01-19 02:41:12,895 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/958.out' 2024-01-19 02:41:12,896 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/959.bin' 2024-01-19 02:41:12,897 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/959.out' 2024-01-19 02:41:12,897 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/96.bin' 2024-01-19 02:41:12,898 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/96.out' 2024-01-19 02:41:12,898 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/960.bin' 2024-01-19 02:41:12,899 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/960.out' 2024-01-19 02:41:12,900 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/961.bin' 2024-01-19 02:41:12,900 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/961.out' 2024-01-19 02:41:12,901 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/962.bin' 2024-01-19 02:41:12,901 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/962.out' 2024-01-19 02:41:12,902 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/963.bin' 2024-01-19 02:41:12,903 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/963.out' 2024-01-19 02:41:12,903 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/964.bin' 2024-01-19 02:41:12,904 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/964.out' 2024-01-19 02:41:12,904 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/965.bin' 2024-01-19 02:41:12,905 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/965.out' 2024-01-19 02:41:12,906 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/966.bin' 2024-01-19 02:41:12,906 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/966.out' 2024-01-19 02:41:12,907 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/967.bin' 2024-01-19 02:41:12,908 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/967.out' 2024-01-19 02:41:12,908 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/968.bin' 2024-01-19 02:41:12,909 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/968.out' 2024-01-19 02:41:12,909 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/969.bin' 2024-01-19 02:41:12,910 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/969.out' 2024-01-19 02:41:12,911 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/97.bin' 2024-01-19 02:41:12,911 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/97.out' 2024-01-19 02:41:12,912 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/970.bin' 2024-01-19 02:41:12,913 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/970.out' 2024-01-19 02:41:12,913 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/971.bin' 2024-01-19 02:41:12,914 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/971.out' 2024-01-19 02:41:12,914 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/972.bin' 2024-01-19 02:41:12,915 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/972.out' 2024-01-19 02:41:12,916 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/973.bin' 2024-01-19 02:41:12,916 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/973.out' 2024-01-19 02:41:12,917 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/974.bin' 2024-01-19 02:41:12,917 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/974.out' 2024-01-19 02:41:12,918 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/975.bin' 2024-01-19 02:41:12,919 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/975.out' 2024-01-19 02:41:12,919 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/976.bin' 2024-01-19 02:41:12,920 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/976.out' 2024-01-19 02:41:12,920 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/977.bin' 2024-01-19 02:41:12,921 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/977.out' 2024-01-19 02:41:12,922 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/978.bin' 2024-01-19 02:41:12,922 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/978.out' 2024-01-19 02:41:12,923 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/979.bin' 2024-01-19 02:41:12,924 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/979.out' 2024-01-19 02:41:12,924 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/98.bin' 2024-01-19 02:41:12,925 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/98.out' 2024-01-19 02:41:12,925 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/980.bin' 2024-01-19 02:41:12,926 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/980.out' 2024-01-19 02:41:12,927 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/981.bin' 2024-01-19 02:41:12,927 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/981.out' 2024-01-19 02:41:12,928 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/982.bin' 2024-01-19 02:41:12,929 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/982.out' 2024-01-19 02:41:12,929 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/983.bin' 2024-01-19 02:41:12,930 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/983.out' 2024-01-19 02:41:12,930 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/984.bin' 2024-01-19 02:41:12,931 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/984.out' 2024-01-19 02:41:12,932 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/985.bin' 2024-01-19 02:41:12,932 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/985.out' 2024-01-19 02:41:12,933 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/986.bin' 2024-01-19 02:41:12,933 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/986.out' 2024-01-19 02:41:12,934 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/987.bin' 2024-01-19 02:41:12,935 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/987.out' 2024-01-19 02:41:12,935 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/988.bin' 2024-01-19 02:41:12,936 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/988.out' 2024-01-19 02:41:12,936 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/989.bin' 2024-01-19 02:41:12,937 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/989.out' 2024-01-19 02:41:12,938 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/99.bin' 2024-01-19 02:41:12,938 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/99.out' 2024-01-19 02:41:12,939 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/990.bin' 2024-01-19 02:41:12,940 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/990.out' 2024-01-19 02:41:12,940 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/991.bin' 2024-01-19 02:41:12,941 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/991.out' 2024-01-19 02:41:12,941 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/992.bin' 2024-01-19 02:41:12,942 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/992.out' 2024-01-19 02:41:12,943 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/993.bin' 2024-01-19 02:41:12,943 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/993.out' 2024-01-19 02:41:12,944 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/994.bin' 2024-01-19 02:41:12,945 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/994.out' 2024-01-19 02:41:12,945 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/995.bin' 2024-01-19 02:41:12,946 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/995.out' 2024-01-19 02:41:12,946 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/996.bin' 2024-01-19 02:41:12,947 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/996.out' 2024-01-19 02:41:12,948 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/997.bin' 2024-01-19 02:41:12,948 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/997.out' 2024-01-19 02:41:12,949 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/998.bin' 2024-01-19 02:41:12,950 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/998.out' 2024-01-19 02:41:12,950 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/999.bin' 2024-01-19 02:41:12,951 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/999.out' 2024-01-19 02:41:12,951 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/Makefile.test' 2024-01-19 02:41:12,952 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/micropython.bin' 2024-01-19 02:41:12,953 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.bin' 2024-01-19 02:41:12,953 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.console_out' 2024-01-19 02:41:12,953 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_branch_alias.metavalue' 2024-01-19 02:41:12,954 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_decrementer.bin' 2024-01-19 02:41:12,954 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_decrementer.console_out' 2024-01-19 02:41:12,954 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_decrementer.metavalue' 2024-01-19 02:41:12,954 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_fpu.bin' 2024-01-19 02:41:12,955 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_fpu.console_out' 2024-01-19 02:41:12,955 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_fpu.metavalue' 2024-01-19 02:41:12,955 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_illegal.bin' 2024-01-19 02:41:12,955 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_illegal.console_out' 2024-01-19 02:41:12,955 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_illegal.metavalue' 2024-01-19 02:41:12,956 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_misc.bin' 2024-01-19 02:41:12,956 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_misc.console_out' 2024-01-19 02:41:12,956 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_misc.metavalue' 2024-01-19 02:41:12,956 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_mmu.bin' 2024-01-19 02:41:12,957 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_mmu.console_out' 2024-01-19 02:41:12,957 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_mmu.metavalue' 2024-01-19 02:41:12,957 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_modes.bin' 2024-01-19 02:41:12,957 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_modes.console_out' 2024-01-19 02:41:12,957 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_modes.metavalue' 2024-01-19 02:41:12,958 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_pmu.bin' 2024-01-19 02:41:12,958 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_pmu.console_out' 2024-01-19 02:41:12,958 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_pmu.metavalue' 2024-01-19 02:41:12,958 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_privileged.bin' 2024-01-19 02:41:12,959 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_privileged.console_out' 2024-01-19 02:41:12,959 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_privileged.metavalue' 2024-01-19 02:41:12,959 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_reservation.bin' 2024-01-19 02:41:12,959 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_reservation.console_out' 2024-01-19 02:41:12,959 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_reservation.metavalue' 2024-01-19 02:41:12,960 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_sc.bin' 2024-01-19 02:41:12,960 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_sc.console_out' 2024-01-19 02:41:12,960 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_sc.metavalue' 2024-01-19 02:41:12,960 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_spr_read.bin' 2024-01-19 02:41:12,961 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_spr_read.console_out' 2024-01-19 02:41:12,961 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_spr_read.metavalue' 2024-01-19 02:41:12,961 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_trace.bin' 2024-01-19 02:41:12,961 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_trace.console_out' 2024-01-19 02:41:12,961 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_trace.metavalue' 2024-01-19 02:41:12,962 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_xics.bin' 2024-01-19 02:41:12,962 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_xics.console_out' 2024-01-19 02:41:12,962 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/test_xics.metavalue' 2024-01-19 02:41:12,962 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/update_console_tests' 2024-01-19 02:41:12,963 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/branch_alias/Makefile' 2024-01-19 02:41:12,963 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/branch_alias/branch_alias.c' 2024-01-19 02:41:12,963 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/branch_alias/head.S' 2024-01-19 02:41:12,963 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/branch_alias/powerpc.lds' 2024-01-19 02:41:12,963 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/decrementer/Makefile' 2024-01-19 02:41:12,964 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/decrementer/decrementer.c' 2024-01-19 02:41:12,964 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/decrementer/head.S' 2024-01-19 02:41:12,964 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/decrementer/powerpc.lds' 2024-01-19 02:41:12,964 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/fpu/Makefile' 2024-01-19 02:41:12,965 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/fpu/fpu.c' 2024-01-19 02:41:12,965 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/fpu/head.S' 2024-01-19 02:41:12,965 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/fpu/powerpc.lds' 2024-01-19 02:41:12,966 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/illegal/Makefile' 2024-01-19 02:41:12,966 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/illegal/head.S' 2024-01-19 02:41:12,966 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/illegal/illegal.c' 2024-01-19 02:41:12,966 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/illegal/powerpc.lds' 2024-01-19 02:41:12,966 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/misc/Makefile' 2024-01-19 02:41:12,967 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/misc/head.S' 2024-01-19 02:41:12,967 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/misc/misc.c' 2024-01-19 02:41:12,967 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/misc/powerpc.lds' 2024-01-19 02:41:12,967 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/mmu/Makefile' 2024-01-19 02:41:12,967 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/mmu/head.S' 2024-01-19 02:41:12,968 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/mmu/mmu.c' 2024-01-19 02:41:12,968 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/mmu/powerpc.lds' 2024-01-19 02:41:12,968 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/modes/Makefile' 2024-01-19 02:41:12,968 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/modes/head.S' 2024-01-19 02:41:12,969 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/modes/modes.c' 2024-01-19 02:41:12,969 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/modes/powerpc.lds' 2024-01-19 02:41:12,969 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/pmu/Makefile' 2024-01-19 02:41:12,969 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/pmu/head.S' 2024-01-19 02:41:12,969 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/pmu/pmu.c' 2024-01-19 02:41:12,970 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/pmu/powerpc.lds' 2024-01-19 02:41:12,970 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/privileged/Makefile' 2024-01-19 02:41:12,970 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/privileged/head.S' 2024-01-19 02:41:12,970 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/privileged/powerpc.lds' 2024-01-19 02:41:12,971 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/privileged/privileged.c' 2024-01-19 02:41:12,971 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/reservation/Makefile' 2024-01-19 02:41:12,971 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/reservation/head.S' 2024-01-19 02:41:12,971 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/reservation/powerpc.lds' 2024-01-19 02:41:12,971 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/reservation/reservation.c' 2024-01-19 02:41:12,972 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/sc/Makefile' 2024-01-19 02:41:12,972 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/sc/head.S' 2024-01-19 02:41:12,972 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/sc/powerpc.lds' 2024-01-19 02:41:12,972 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/sc/sc.c' 2024-01-19 02:41:12,973 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/spr_read/Makefile' 2024-01-19 02:41:12,973 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/spr_read/head.S' 2024-01-19 02:41:12,973 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/spr_read/powerpc.lds' 2024-01-19 02:41:12,974 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/spr_read/spr_read.c' 2024-01-19 02:41:12,974 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/trace/Makefile' 2024-01-19 02:41:12,974 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/trace/head.S' 2024-01-19 02:41:12,974 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/trace/powerpc.lds' 2024-01-19 02:41:12,974 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/trace/trace.c' 2024-01-19 02:41:12,975 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/xics/Makefile' 2024-01-19 02:41:12,975 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/xics/head.S' 2024-01-19 02:41:12,975 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/xics/powerpc.lds' 2024-01-19 02:41:12,975 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/xics/xics.c' 2024-01-19 02:41:12,976 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/tests/xics/xics.h' 2024-01-19 02:41:12,976 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/raminfr.v' 2024-01-19 02:41:12,976 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart16550.core' 2024-01-19 02:41:12,976 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_defines.v' 2024-01-19 02:41:12,977 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_receiver.v' 2024-01-19 02:41:12,977 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_regs.v' 2024-01-19 02:41:12,977 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_rfifo.v' 2024-01-19 02:41:12,978 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_sync_flops.v' 2024-01-19 02:41:12,978 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_tfifo.v' 2024-01-19 02:41:12,978 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_top.v' 2024-01-19 02:41:12,978 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_transmitter.v' 2024-01-19 02:41:12,979 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/uart16550/uart_wb.v' 2024-01-19 02:41:12,979 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/verilator/microwatt-verilator.cpp' 2024-01-19 02:41:12,979 wheel INFO adding 'pythondata_cpu_microwatt/vhdl/verilator/uart-verilator.c' 2024-01-19 02:41:12,980 wheel INFO adding 'pythondata_cpu_microwatt-0.0.post1409.dist-info/LICENSE' 2024-01-19 02:41:12,980 wheel INFO adding 'pythondata_cpu_microwatt-0.0.post1409.dist-info/METADATA' 2024-01-19 02:41:12,980 wheel INFO adding 'pythondata_cpu_microwatt-0.0.post1409.dist-info/WHEEL' 2024-01-19 02:41:12,980 wheel INFO adding 'pythondata_cpu_microwatt-0.0.post1409.dist-info/top_level.txt' 2024-01-19 02:41:12,989 wheel INFO adding 'pythondata_cpu_microwatt-0.0.post1409.dist-info/RECORD' 2024-01-19 02:41:12,997 wheel INFO removing build/bdist.linux-x86_64/wheel 2024-01-19 02:41:13,071 gpep517 INFO The backend produced dist/pythondata_cpu_microwatt-0.0.post1409-py3-none-any.whl pythondata_cpu_microwatt-0.0.post1409-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-minerva 2024-01-19 02:41:13,278 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 02:41:13,342 root INFO running bdist_wheel 2024-01-19 02:41:13,358 root INFO running build 2024-01-19 02:41:13,358 root INFO running build_py 2024-01-19 02:41:13,360 root INFO creating build 2024-01-19 02:41:13,361 root INFO creating build/lib 2024-01-19 02:41:13,361 root INFO creating build/lib/pythondata_cpu_minerva 2024-01-19 02:41:13,361 root INFO copying pythondata_cpu_minerva/__init__.py -> build/lib/pythondata_cpu_minerva 2024-01-19 02:41:13,361 root INFO creating build/lib/pythondata_cpu_minerva/sources 2024-01-19 02:41:13,362 root INFO copying pythondata_cpu_minerva/sources/setup.py -> build/lib/pythondata_cpu_minerva/sources 2024-01-19 02:41:13,362 root INFO copying pythondata_cpu_minerva/sources/cli.py -> build/lib/pythondata_cpu_minerva/sources 2024-01-19 02:41:13,362 root INFO creating build/lib/pythondata_cpu_minerva/sources/minerva 2024-01-19 02:41:13,362 root INFO copying pythondata_cpu_minerva/sources/minerva/csr.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-01-19 02:41:13,363 root INFO copying pythondata_cpu_minerva/sources/minerva/core.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-01-19 02:41:13,363 root INFO copying pythondata_cpu_minerva/sources/minerva/isa.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-01-19 02:41:13,363 root INFO copying pythondata_cpu_minerva/sources/minerva/wishbone.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-01-19 02:41:13,364 root INFO copying pythondata_cpu_minerva/sources/minerva/__init__.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-01-19 02:41:13,364 root INFO copying pythondata_cpu_minerva/sources/minerva/mem.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-01-19 02:41:13,364 root INFO copying pythondata_cpu_minerva/sources/minerva/cache.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-01-19 02:41:13,364 root INFO copying pythondata_cpu_minerva/sources/minerva/gpr.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-01-19 02:41:13,365 root INFO copying pythondata_cpu_minerva/sources/minerva/stage.py -> build/lib/pythondata_cpu_minerva/sources/minerva 2024-01-19 02:41:13,365 root INFO creating build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,365 root INFO copying pythondata_cpu_minerva/sources/minerva/units/predict.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,365 root INFO copying pythondata_cpu_minerva/sources/minerva/units/rvficon.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,366 root INFO copying pythondata_cpu_minerva/sources/minerva/units/logic.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,366 root INFO copying pythondata_cpu_minerva/sources/minerva/units/trigger.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,366 root INFO copying pythondata_cpu_minerva/sources/minerva/units/decoder.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,366 root INFO copying pythondata_cpu_minerva/sources/minerva/units/exception.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,367 root INFO copying pythondata_cpu_minerva/sources/minerva/units/__init__.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,367 root INFO copying pythondata_cpu_minerva/sources/minerva/units/multiplier.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,367 root INFO copying pythondata_cpu_minerva/sources/minerva/units/compare.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,367 root INFO copying pythondata_cpu_minerva/sources/minerva/units/divider.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,368 root INFO copying pythondata_cpu_minerva/sources/minerva/units/shifter.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,368 root INFO copying pythondata_cpu_minerva/sources/minerva/units/loadstore.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,368 root INFO copying pythondata_cpu_minerva/sources/minerva/units/adder.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,368 root INFO copying pythondata_cpu_minerva/sources/minerva/units/fetch.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,369 root INFO creating build/lib/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 02:41:13,369 root INFO copying pythondata_cpu_minerva/sources/minerva/test/test_units_divider.py -> build/lib/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 02:41:13,369 root INFO copying pythondata_cpu_minerva/sources/minerva/test/utils.py -> build/lib/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 02:41:13,369 root INFO copying pythondata_cpu_minerva/sources/minerva/test/__init__.py -> build/lib/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 02:41:13,370 root INFO copying pythondata_cpu_minerva/sources/minerva/test/test_cache.py -> build/lib/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 02:41:13,370 root INFO copying pythondata_cpu_minerva/sources/minerva/test/test_units_multiplier.py -> build/lib/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 02:41:13,370 root INFO creating build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 02:41:13,370 root INFO copying pythondata_cpu_minerva/sources/minerva/units/debug/jtag.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 02:41:13,371 root INFO copying pythondata_cpu_minerva/sources/minerva/units/debug/top.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 02:41:13,371 root INFO copying pythondata_cpu_minerva/sources/minerva/units/debug/wbmaster.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 02:41:13,371 root INFO copying pythondata_cpu_minerva/sources/minerva/units/debug/controller.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 02:41:13,371 root INFO copying pythondata_cpu_minerva/sources/minerva/units/debug/regfile.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 02:41:13,372 root INFO copying pythondata_cpu_minerva/sources/minerva/units/debug/__init__.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 02:41:13,372 root INFO copying pythondata_cpu_minerva/sources/minerva/units/debug/dmi.py -> build/lib/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 02:41:13,372 root INFO running egg_info 2024-01-19 02:41:13,372 root INFO creating pythondata_cpu_minerva.egg-info 2024-01-19 02:41:13,374 root INFO writing pythondata_cpu_minerva.egg-info/PKG-INFO 2024-01-19 02:41:13,374 root INFO writing dependency_links to pythondata_cpu_minerva.egg-info/dependency_links.txt 2024-01-19 02:41:13,374 root INFO writing top-level names to pythondata_cpu_minerva.egg-info/top_level.txt 2024-01-19 02:41:13,375 root INFO writing manifest file 'pythondata_cpu_minerva.egg-info/SOURCES.txt' 2024-01-19 02:41:13,378 root INFO reading manifest file 'pythondata_cpu_minerva.egg-info/SOURCES.txt' 2024-01-19 02:41:13,378 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 02:41:13,379 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 02:41:13,379 root INFO adding license file 'LICENSE' 2024-01-19 02:41:13,380 root INFO writing manifest file 'pythondata_cpu_minerva.egg-info/SOURCES.txt' 2024-01-19 02:41:13,381 root INFO copying pythondata_cpu_minerva/sources/.gitignore -> build/lib/pythondata_cpu_minerva/sources 2024-01-19 02:41:13,382 root INFO copying pythondata_cpu_minerva/sources/LICENSE.txt -> build/lib/pythondata_cpu_minerva/sources 2024-01-19 02:41:13,382 root INFO copying pythondata_cpu_minerva/sources/README.md -> build/lib/pythondata_cpu_minerva/sources 2024-01-19 02:41:13,387 wheel INFO installing to build/bdist.linux-x86_64/wheel 2024-01-19 02:41:13,387 root INFO running install 2024-01-19 02:41:13,396 root INFO running install_lib 2024-01-19 02:41:13,398 root INFO creating build/bdist.linux-x86_64 2024-01-19 02:41:13,398 root INFO creating build/bdist.linux-x86_64/wheel 2024-01-19 02:41:13,399 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva 2024-01-19 02:41:13,399 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources 2024-01-19 02:41:13,399 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva 2024-01-19 02:41:13,399 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/csr.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva 2024-01-19 02:41:13,399 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,400 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/predict.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,400 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/rvficon.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,400 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/logic.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,400 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/trigger.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,400 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/decoder.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,401 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/exception.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,401 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,401 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/multiplier.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,401 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/compare.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,402 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/divider.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,402 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/shifter.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,402 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/loadstore.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,402 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/adder.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,402 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 02:41:13,403 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/debug/jtag.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 02:41:13,403 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/debug/top.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 02:41:13,403 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/debug/wbmaster.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 02:41:13,403 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/debug/controller.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 02:41:13,403 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/debug/regfile.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 02:41:13,404 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/debug/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 02:41:13,404 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/debug/dmi.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/units/debug 2024-01-19 02:41:13,404 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/units/fetch.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/units 2024-01-19 02:41:13,404 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/core.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva 2024-01-19 02:41:13,404 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 02:41:13,405 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/test/test_units_divider.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 02:41:13,405 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/test/utils.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 02:41:13,405 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/test/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 02:41:13,405 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/test/test_cache.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 02:41:13,405 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/test/test_units_multiplier.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva/test 2024-01-19 02:41:13,406 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/isa.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva 2024-01-19 02:41:13,406 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/wishbone.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva 2024-01-19 02:41:13,406 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva 2024-01-19 02:41:13,406 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/mem.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva 2024-01-19 02:41:13,406 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/cache.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva 2024-01-19 02:41:13,407 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/gpr.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva 2024-01-19 02:41:13,407 root INFO copying build/lib/pythondata_cpu_minerva/sources/minerva/stage.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources/minerva 2024-01-19 02:41:13,407 root INFO copying build/lib/pythondata_cpu_minerva/sources/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources 2024-01-19 02:41:13,407 root INFO copying build/lib/pythondata_cpu_minerva/sources/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources 2024-01-19 02:41:13,407 root INFO copying build/lib/pythondata_cpu_minerva/sources/setup.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources 2024-01-19 02:41:13,408 root INFO copying build/lib/pythondata_cpu_minerva/sources/LICENSE.txt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources 2024-01-19 02:41:13,408 root INFO copying build/lib/pythondata_cpu_minerva/sources/cli.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva/sources 2024-01-19 02:41:13,408 root INFO copying build/lib/pythondata_cpu_minerva/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva 2024-01-19 02:41:13,408 root INFO running install_egg_info 2024-01-19 02:41:13,412 root INFO Copying pythondata_cpu_minerva.egg-info to build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva-0.0.post262-py3.11.egg-info 2024-01-19 02:41:13,413 root INFO running install_scripts 2024-01-19 02:41:13,414 wheel INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_minerva-0.0.post262.dist-info/WHEEL 2024-01-19 02:41:13,415 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/pythondata-cpu-minerva-2023.12/dist/.tmp-4ylolt9r/pythondata_cpu_minerva-0.0.post262-py3-none-any.whl' and adding 'build/bdist.linux-x86_64/wheel' to it 2024-01-19 02:41:13,415 wheel INFO adding 'pythondata_cpu_minerva/__init__.py' 2024-01-19 02:41:13,416 wheel INFO adding 'pythondata_cpu_minerva/sources/.gitignore' 2024-01-19 02:41:13,416 wheel INFO adding 'pythondata_cpu_minerva/sources/LICENSE.txt' 2024-01-19 02:41:13,416 wheel INFO adding 'pythondata_cpu_minerva/sources/README.md' 2024-01-19 02:41:13,416 wheel INFO adding 'pythondata_cpu_minerva/sources/cli.py' 2024-01-19 02:41:13,417 wheel INFO adding 'pythondata_cpu_minerva/sources/setup.py' 2024-01-19 02:41:13,417 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/__init__.py' 2024-01-19 02:41:13,417 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/cache.py' 2024-01-19 02:41:13,418 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/core.py' 2024-01-19 02:41:13,418 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/csr.py' 2024-01-19 02:41:13,418 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/gpr.py' 2024-01-19 02:41:13,419 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/isa.py' 2024-01-19 02:41:13,419 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/mem.py' 2024-01-19 02:41:13,419 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/stage.py' 2024-01-19 02:41:13,419 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/wishbone.py' 2024-01-19 02:41:13,420 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/test/__init__.py' 2024-01-19 02:41:13,420 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/test/test_cache.py' 2024-01-19 02:41:13,420 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/test/test_units_divider.py' 2024-01-19 02:41:13,420 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/test/test_units_multiplier.py' 2024-01-19 02:41:13,421 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/test/utils.py' 2024-01-19 02:41:13,421 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/__init__.py' 2024-01-19 02:41:13,421 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/adder.py' 2024-01-19 02:41:13,421 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/compare.py' 2024-01-19 02:41:13,422 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/decoder.py' 2024-01-19 02:41:13,422 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/divider.py' 2024-01-19 02:41:13,422 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/exception.py' 2024-01-19 02:41:13,422 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/fetch.py' 2024-01-19 02:41:13,423 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/loadstore.py' 2024-01-19 02:41:13,423 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/logic.py' 2024-01-19 02:41:13,423 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/multiplier.py' 2024-01-19 02:41:13,423 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/predict.py' 2024-01-19 02:41:13,424 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/rvficon.py' 2024-01-19 02:41:13,424 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/shifter.py' 2024-01-19 02:41:13,424 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/trigger.py' 2024-01-19 02:41:13,424 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/debug/__init__.py' 2024-01-19 02:41:13,425 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/debug/controller.py' 2024-01-19 02:41:13,425 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/debug/dmi.py' 2024-01-19 02:41:13,425 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/debug/jtag.py' 2024-01-19 02:41:13,425 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/debug/regfile.py' 2024-01-19 02:41:13,426 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/debug/top.py' 2024-01-19 02:41:13,426 wheel INFO adding 'pythondata_cpu_minerva/sources/minerva/units/debug/wbmaster.py' 2024-01-19 02:41:13,426 wheel INFO adding 'pythondata_cpu_minerva-0.0.post262.dist-info/LICENSE' 2024-01-19 02:41:13,426 wheel INFO adding 'pythondata_cpu_minerva-0.0.post262.dist-info/METADATA' 2024-01-19 02:41:13,427 wheel INFO adding 'pythondata_cpu_minerva-0.0.post262.dist-info/WHEEL' 2024-01-19 02:41:13,427 wheel INFO adding 'pythondata_cpu_minerva-0.0.post262.dist-info/top_level.txt' 2024-01-19 02:41:13,427 wheel INFO adding 'pythondata_cpu_minerva-0.0.post262.dist-info/RECORD' 2024-01-19 02:41:13,427 wheel INFO removing build/bdist.linux-x86_64/wheel 2024-01-19 02:41:13,429 gpep517 INFO The backend produced dist/pythondata_cpu_minerva-0.0.post262-py3-none-any.whl pythondata_cpu_minerva-0.0.post262-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-mor1kx 2024-01-19 02:41:13,632 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 02:41:13,662 root INFO running bdist_wheel 2024-01-19 02:41:13,677 root INFO running build 2024-01-19 02:41:13,677 root INFO running build_py 2024-01-19 02:41:13,680 root INFO creating build 2024-01-19 02:41:13,680 root INFO creating build/lib 2024-01-19 02:41:13,680 root INFO creating build/lib/pythondata_cpu_mor1kx 2024-01-19 02:41:13,680 root INFO copying pythondata_cpu_mor1kx/__init__.py -> build/lib/pythondata_cpu_mor1kx 2024-01-19 02:41:13,681 root INFO running egg_info 2024-01-19 02:41:13,681 root INFO creating pythondata_cpu_mor1kx.egg-info 2024-01-19 02:41:13,683 root INFO writing pythondata_cpu_mor1kx.egg-info/PKG-INFO 2024-01-19 02:41:13,683 root INFO writing dependency_links to pythondata_cpu_mor1kx.egg-info/dependency_links.txt 2024-01-19 02:41:13,683 root INFO writing top-level names to pythondata_cpu_mor1kx.egg-info/top_level.txt 2024-01-19 02:41:13,683 root INFO writing manifest file 'pythondata_cpu_mor1kx.egg-info/SOURCES.txt' 2024-01-19 02:41:13,687 root INFO reading manifest file 'pythondata_cpu_mor1kx.egg-info/SOURCES.txt' 2024-01-19 02:41:13,687 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 02:41:13,688 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 02:41:13,689 root INFO writing manifest file 'pythondata_cpu_mor1kx.egg-info/SOURCES.txt' 2024-01-19 02:41:13,692 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog 2024-01-19 02:41:13,692 root INFO copying pythondata_cpu_mor1kx/verilog/.gitignore -> build/lib/pythondata_cpu_mor1kx/verilog 2024-01-19 02:41:13,693 root INFO copying pythondata_cpu_mor1kx/verilog/.travis.yml -> build/lib/pythondata_cpu_mor1kx/verilog 2024-01-19 02:41:13,693 root INFO copying pythondata_cpu_mor1kx/verilog/Jenkinsfile -> build/lib/pythondata_cpu_mor1kx/verilog 2024-01-19 02:41:13,693 root INFO copying pythondata_cpu_mor1kx/verilog/LICENSE -> build/lib/pythondata_cpu_mor1kx/verilog 2024-01-19 02:41:13,693 root INFO copying pythondata_cpu_mor1kx/verilog/README.md -> build/lib/pythondata_cpu_mor1kx/verilog 2024-01-19 02:41:13,694 root INFO copying pythondata_cpu_mor1kx/verilog/mor1kx.core -> build/lib/pythondata_cpu_mor1kx/verilog 2024-01-19 02:41:13,694 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog/.travis 2024-01-19 02:41:13,694 root INFO copying pythondata_cpu_mor1kx/verilog/.travis/run-or1k-tests.sh -> build/lib/pythondata_cpu_mor1kx/verilog/.travis 2024-01-19 02:41:13,694 root INFO copying pythondata_cpu_mor1kx/verilog/.travis/run-verilator.sh -> build/lib/pythondata_cpu_mor1kx/verilog/.travis 2024-01-19 02:41:13,695 root INFO copying pythondata_cpu_mor1kx/verilog/.travis/test.sh -> build/lib/pythondata_cpu_mor1kx/verilog/.travis 2024-01-19 02:41:13,695 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 02:41:13,695 root INFO copying pythondata_cpu_mor1kx/verilog/doc/Makefile -> build/lib/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 02:41:13,695 root INFO copying pythondata_cpu_mor1kx/verilog/doc/docbook-xsl.css -> build/lib/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 02:41:13,695 root INFO copying pythondata_cpu_mor1kx/verilog/doc/docbook.xsl -> build/lib/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 02:41:13,696 root INFO copying pythondata_cpu_mor1kx/verilog/doc/gen-docinfo.pl -> build/lib/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 02:41:13,696 root INFO copying pythondata_cpu_mor1kx/verilog/doc/mor1kx.asciidoc -> build/lib/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 02:41:13,696 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog/bench 2024-01-19 02:41:13,696 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog/bench/verilog 2024-01-19 02:41:13,696 root INFO copying pythondata_cpu_mor1kx/verilog/bench/verilog/mor1kx_monitor.v -> build/lib/pythondata_cpu_mor1kx/verilog/bench/verilog 2024-01-19 02:41:13,697 root INFO copying pythondata_cpu_mor1kx/verilog/bench/verilog/mor1kx_traceport_monitor.v -> build/lib/pythondata_cpu_mor1kx/verilog/bench/verilog 2024-01-19 02:41:13,697 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog/rtl 2024-01-19 02:41:13,697 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,697 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx-defines.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,698 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx-sprs.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,698 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,698 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_prediction.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,698 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_gshare.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,699 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_saturation_counter.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,699 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_simple.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,699 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_bus_if_wb32.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,699 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cache_lru.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,700 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cfgrs.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,700 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,700 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,701 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,701 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_prontoespresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,701 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,702 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,702 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_prontoespresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,702 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_dcache.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,703 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_decode.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,703 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_decode_execute_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,703 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_dmmu.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,703 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_execute_alu.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,704 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_execute_ctrl_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,704 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,704 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,705 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_prontoespresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,705 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_tcm_prontoespresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,705 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_icache.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,705 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_immu.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,705 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_lsu_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,706 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_lsu_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,706 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_pcu.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,706 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_pic.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,706 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_rf_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,707 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_rf_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,707 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_simple_dpram_sclk.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,707 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_store_buffer.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,707 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ticktimer.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,707 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_true_dpram_sclk.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,708 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_utils.vh -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,708 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_wb_mux_cappuccino.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,708 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_wb_mux_espresso.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,708 root INFO creating build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 02:41:13,708 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_addsub.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 02:41:13,709 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_cmp.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 02:41:13,709 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_f2i.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 02:41:13,709 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_i2f.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 02:41:13,709 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_muldiv.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 02:41:13,710 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_rnd.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 02:41:13,710 root INFO copying pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_top.v -> build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 02:41:13,715 wheel INFO installing to build/bdist.linux-x86_64/wheel 2024-01-19 02:41:13,715 root INFO running install 2024-01-19 02:41:13,724 root INFO running install_lib 2024-01-19 02:41:13,726 root INFO creating build/bdist.linux-x86_64 2024-01-19 02:41:13,726 root INFO creating build/bdist.linux-x86_64/wheel 2024-01-19 02:41:13,726 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx 2024-01-19 02:41:13,726 root INFO copying build/lib/pythondata_cpu_mor1kx/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx 2024-01-19 02:41:13,727 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog 2024-01-19 02:41:13,727 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/.travis 2024-01-19 02:41:13,727 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/.travis/run-verilator.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/.travis 2024-01-19 02:41:13,727 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/.travis/test.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/.travis 2024-01-19 02:41:13,727 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/.travis/run-or1k-tests.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/.travis 2024-01-19 02:41:13,727 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog 2024-01-19 02:41:13,728 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/LICENSE -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog 2024-01-19 02:41:13,728 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/bench 2024-01-19 02:41:13,728 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/bench/verilog 2024-01-19 02:41:13,728 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/bench/verilog/mor1kx_traceport_monitor.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/bench/verilog 2024-01-19 02:41:13,728 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/bench/verilog/mor1kx_monitor.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/bench/verilog 2024-01-19 02:41:13,729 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog 2024-01-19 02:41:13,729 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl 2024-01-19 02:41:13,729 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,729 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 02:41:13,729 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_f2i.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 02:41:13,730 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_addsub.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 02:41:13,730 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_muldiv.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 02:41:13,730 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_i2f.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 02:41:13,730 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_top.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 02:41:13,731 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_rnd.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 02:41:13,731 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_cmp.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32 2024-01-19 02:41:13,731 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_rf_espresso.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,731 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_gshare.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,731 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ticktimer.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,732 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_saturation_counter.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,732 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_decode_execute_cappuccino.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,732 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_lsu_cappuccino.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,732 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_espresso.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,732 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_pic.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,733 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_execute_alu.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,733 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_prontoespresso.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,733 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_prontoespresso.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,733 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_icache.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,733 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx-sprs.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,734 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_immu.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,734 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_cappuccino.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,734 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_tcm_prontoespresso.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,734 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cache_lru.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,735 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_bus_if_wb32.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,735 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx-defines.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,735 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_cappuccino.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,735 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_dcache.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,735 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_utils.vh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,736 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_wb_mux_cappuccino.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,736 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_prontoespresso.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,736 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_wb_mux_espresso.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,736 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,737 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_simple.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,737 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_pcu.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,737 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_true_dpram_sclk.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,737 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_espresso.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,737 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_prediction.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,738 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_dmmu.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,738 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_simple_dpram_sclk.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,738 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_cappuccino.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,738 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,738 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_store_buffer.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,739 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_decode.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,739 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cfgrs.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,739 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_execute_ctrl_cappuccino.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,739 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_espresso.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,739 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_lsu_espresso.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,740 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_rf_cappuccino.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/rtl/verilog 2024-01-19 02:41:13,740 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 02:41:13,740 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/doc/mor1kx.asciidoc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 02:41:13,740 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/doc/gen-docinfo.pl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 02:41:13,740 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/doc/docbook-xsl.css -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 02:41:13,741 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/doc/docbook.xsl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 02:41:13,741 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/doc/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog/doc 2024-01-19 02:41:13,741 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/mor1kx.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog 2024-01-19 02:41:13,741 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/.travis.yml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog 2024-01-19 02:41:13,741 root INFO copying build/lib/pythondata_cpu_mor1kx/verilog/Jenkinsfile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx/verilog 2024-01-19 02:41:13,742 root INFO running install_egg_info 2024-01-19 02:41:13,745 root INFO Copying pythondata_cpu_mor1kx.egg-info to build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx-5.0.post125-py3.11.egg-info 2024-01-19 02:41:13,746 root INFO running install_scripts 2024-01-19 02:41:13,748 wheel INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_mor1kx-5.0.post125.dist-info/WHEEL 2024-01-19 02:41:13,748 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/pythondata-cpu-mor1kx-2020.04/dist/.tmp-ppjr1y1x/pythondata_cpu_mor1kx-5.0.post125-py3-none-any.whl' and adding 'build/bdist.linux-x86_64/wheel' to it 2024-01-19 02:41:13,748 wheel INFO adding 'pythondata_cpu_mor1kx/__init__.py' 2024-01-19 02:41:13,749 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/.gitignore' 2024-01-19 02:41:13,749 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/.travis.yml' 2024-01-19 02:41:13,749 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/Jenkinsfile' 2024-01-19 02:41:13,749 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/LICENSE' 2024-01-19 02:41:13,750 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/README.md' 2024-01-19 02:41:13,750 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/mor1kx.core' 2024-01-19 02:41:13,750 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/.travis/run-or1k-tests.sh' 2024-01-19 02:41:13,751 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/.travis/run-verilator.sh' 2024-01-19 02:41:13,751 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/.travis/test.sh' 2024-01-19 02:41:13,751 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/bench/verilog/mor1kx_monitor.v' 2024-01-19 02:41:13,751 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/bench/verilog/mor1kx_traceport_monitor.v' 2024-01-19 02:41:13,752 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/doc/Makefile' 2024-01-19 02:41:13,752 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/doc/docbook-xsl.css' 2024-01-19 02:41:13,752 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/doc/docbook.xsl' 2024-01-19 02:41:13,752 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/doc/gen-docinfo.pl' 2024-01-19 02:41:13,753 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/doc/mor1kx.asciidoc' 2024-01-19 02:41:13,753 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx-defines.v' 2024-01-19 02:41:13,753 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx-sprs.v' 2024-01-19 02:41:13,754 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx.v' 2024-01-19 02:41:13,754 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_prediction.v' 2024-01-19 02:41:13,754 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_gshare.v' 2024-01-19 02:41:13,754 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_saturation_counter.v' 2024-01-19 02:41:13,755 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_branch_predictor_simple.v' 2024-01-19 02:41:13,755 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_bus_if_wb32.v' 2024-01-19 02:41:13,755 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cache_lru.v' 2024-01-19 02:41:13,755 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cfgrs.v' 2024-01-19 02:41:13,756 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu.v' 2024-01-19 02:41:13,756 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_cappuccino.v' 2024-01-19 02:41:13,757 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_espresso.v' 2024-01-19 02:41:13,757 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_cpu_prontoespresso.v' 2024-01-19 02:41:13,758 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_cappuccino.v' 2024-01-19 02:41:13,758 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_espresso.v' 2024-01-19 02:41:13,759 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ctrl_prontoespresso.v' 2024-01-19 02:41:13,759 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_dcache.v' 2024-01-19 02:41:13,760 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_decode.v' 2024-01-19 02:41:13,760 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_decode_execute_cappuccino.v' 2024-01-19 02:41:13,760 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_dmmu.v' 2024-01-19 02:41:13,761 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_execute_alu.v' 2024-01-19 02:41:13,761 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_execute_ctrl_cappuccino.v' 2024-01-19 02:41:13,761 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_cappuccino.v' 2024-01-19 02:41:13,762 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_espresso.v' 2024-01-19 02:41:13,762 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_prontoespresso.v' 2024-01-19 02:41:13,762 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_fetch_tcm_prontoespresso.v' 2024-01-19 02:41:13,763 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_icache.v' 2024-01-19 02:41:13,763 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_immu.v' 2024-01-19 02:41:13,763 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_lsu_cappuccino.v' 2024-01-19 02:41:13,764 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_lsu_espresso.v' 2024-01-19 02:41:13,764 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_pcu.v' 2024-01-19 02:41:13,764 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_pic.v' 2024-01-19 02:41:13,764 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_rf_cappuccino.v' 2024-01-19 02:41:13,765 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_rf_espresso.v' 2024-01-19 02:41:13,765 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_simple_dpram_sclk.v' 2024-01-19 02:41:13,765 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_store_buffer.v' 2024-01-19 02:41:13,765 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_ticktimer.v' 2024-01-19 02:41:13,766 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_true_dpram_sclk.v' 2024-01-19 02:41:13,766 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_utils.vh' 2024-01-19 02:41:13,766 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_wb_mux_cappuccino.v' 2024-01-19 02:41:13,766 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/mor1kx_wb_mux_espresso.v' 2024-01-19 02:41:13,766 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_addsub.v' 2024-01-19 02:41:13,767 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_cmp.v' 2024-01-19 02:41:13,767 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_f2i.v' 2024-01-19 02:41:13,767 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_i2f.v' 2024-01-19 02:41:13,768 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_muldiv.v' 2024-01-19 02:41:13,768 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_rnd.v' 2024-01-19 02:41:13,768 wheel INFO adding 'pythondata_cpu_mor1kx/verilog/rtl/verilog/pfpu32/pfpu32_top.v' 2024-01-19 02:41:13,769 wheel INFO adding 'pythondata_cpu_mor1kx-5.0.post125.dist-info/METADATA' 2024-01-19 02:41:13,769 wheel INFO adding 'pythondata_cpu_mor1kx-5.0.post125.dist-info/WHEEL' 2024-01-19 02:41:13,769 wheel INFO adding 'pythondata_cpu_mor1kx-5.0.post125.dist-info/top_level.txt' 2024-01-19 02:41:13,769 wheel INFO adding 'pythondata_cpu_mor1kx-5.0.post125.dist-info/RECORD' 2024-01-19 02:41:13,770 wheel INFO removing build/bdist.linux-x86_64/wheel 2024-01-19 02:41:13,772 gpep517 INFO The backend produced dist/pythondata_cpu_mor1kx-5.0.post125-py3-none-any.whl pythondata_cpu_mor1kx-5.0.post125-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-naxriscv 2024-01-19 02:41:14,131 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 02:41:14,171 root INFO running bdist_wheel 2024-01-19 02:41:14,193 root INFO running build 2024-01-19 02:41:14,193 root INFO running build_py 2024-01-19 02:41:14,196 root INFO creating build 2024-01-19 02:41:14,196 root INFO creating build/lib 2024-01-19 02:41:14,196 root INFO creating build/lib/pythondata_cpu_naxriscv 2024-01-19 02:41:14,197 root INFO copying pythondata_cpu_naxriscv/__init__.py -> build/lib/pythondata_cpu_naxriscv 2024-01-19 02:41:14,197 root INFO running egg_info 2024-01-19 02:41:14,198 root INFO creating pythondata_cpu_naxriscv.egg-info 2024-01-19 02:41:14,199 root INFO writing pythondata_cpu_naxriscv.egg-info/PKG-INFO 2024-01-19 02:41:14,200 root INFO writing dependency_links to pythondata_cpu_naxriscv.egg-info/dependency_links.txt 2024-01-19 02:41:14,200 root INFO writing top-level names to pythondata_cpu_naxriscv.egg-info/top_level.txt 2024-01-19 02:41:14,201 root INFO writing manifest file 'pythondata_cpu_naxriscv.egg-info/SOURCES.txt' 2024-01-19 02:41:14,204 root INFO reading manifest file 'pythondata_cpu_naxriscv.egg-info/SOURCES.txt' 2024-01-19 02:41:14,204 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 02:41:14,205 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 02:41:14,206 root INFO writing manifest file 'pythondata_cpu_naxriscv.egg-info/SOURCES.txt' 2024-01-19 02:41:14,207 root INFO creating build/lib/pythondata_cpu_naxriscv/verilog 2024-01-19 02:41:14,208 root INFO copying pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Efinix.v -> build/lib/pythondata_cpu_naxriscv/verilog 2024-01-19 02:41:14,208 root INFO copying pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Generic.v -> build/lib/pythondata_cpu_naxriscv/verilog 2024-01-19 02:41:14,208 root INFO copying pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Intel.v -> build/lib/pythondata_cpu_naxriscv/verilog 2024-01-19 02:41:14,209 root INFO creating build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 02:41:14,209 root INFO copying pythondata_cpu_naxriscv/verilog/configs/branch_predictor_std.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 02:41:14,209 root INFO copying pythondata_cpu_naxriscv/verilog/configs/eu_1alu_1share.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 02:41:14,210 root INFO copying pythondata_cpu_naxriscv/verilog/configs/eu_2alu_1share.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 02:41:14,210 root INFO copying pythondata_cpu_naxriscv/verilog/configs/fetch.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 02:41:14,210 root INFO copying pythondata_cpu_naxriscv/verilog/configs/frontend.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 02:41:14,211 root INFO copying pythondata_cpu_naxriscv/verilog/configs/gen.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 02:41:14,211 root INFO copying pythondata_cpu_naxriscv/verilog/configs/lsu.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 02:41:14,211 root INFO copying pythondata_cpu_naxriscv/verilog/configs/misc.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 02:41:14,212 root INFO copying pythondata_cpu_naxriscv/verilog/configs/single_decode_retire.scala -> build/lib/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 02:41:14,218 wheel INFO installing to build/bdist.linux-x86_64/wheel 2024-01-19 02:41:14,218 root INFO running install 2024-01-19 02:41:14,231 root INFO running install_lib 2024-01-19 02:41:14,233 root INFO creating build/bdist.linux-x86_64 2024-01-19 02:41:14,233 root INFO creating build/bdist.linux-x86_64/wheel 2024-01-19 02:41:14,234 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_naxriscv 2024-01-19 02:41:14,234 root INFO copying build/lib/pythondata_cpu_naxriscv/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_naxriscv 2024-01-19 02:41:14,234 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_naxriscv/verilog 2024-01-19 02:41:14,235 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Efinix.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_naxriscv/verilog 2024-01-19 02:41:14,235 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Intel.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_naxriscv/verilog 2024-01-19 02:41:14,235 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 02:41:14,235 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/branch_predictor_std.scala -> build/bdist.linux-x86_64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 02:41:14,236 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/frontend.scala -> build/bdist.linux-x86_64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 02:41:14,236 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/fetch.scala -> build/bdist.linux-x86_64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 02:41:14,236 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/eu_1alu_1share.scala -> build/bdist.linux-x86_64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 02:41:14,237 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/misc.scala -> build/bdist.linux-x86_64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 02:41:14,237 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/lsu.scala -> build/bdist.linux-x86_64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 02:41:14,237 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/single_decode_retire.scala -> build/bdist.linux-x86_64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 02:41:14,238 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/eu_2alu_1share.scala -> build/bdist.linux-x86_64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 02:41:14,238 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/configs/gen.scala -> build/bdist.linux-x86_64/wheel/pythondata_cpu_naxriscv/verilog/configs 2024-01-19 02:41:14,238 root INFO copying build/lib/pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Generic.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_naxriscv/verilog 2024-01-19 02:41:14,238 root INFO running install_egg_info 2024-01-19 02:41:14,243 root INFO Copying pythondata_cpu_naxriscv.egg-info to build/bdist.linux-x86_64/wheel/pythondata_cpu_naxriscv-1.0.1.post325-py3.11.egg-info 2024-01-19 02:41:14,245 root INFO running install_scripts 2024-01-19 02:41:14,247 wheel INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_naxriscv-1.0.1.post325.dist-info/WHEEL 2024-01-19 02:41:14,247 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/pythondata-cpu-naxriscv-2023.12/dist/.tmp-urzcaf4x/pythondata_cpu_naxriscv-1.0.1.post325-py3-none-any.whl' and adding 'build/bdist.linux-x86_64/wheel' to it 2024-01-19 02:41:14,248 wheel INFO adding 'pythondata_cpu_naxriscv/__init__.py' 2024-01-19 02:41:14,248 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Efinix.v' 2024-01-19 02:41:14,248 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Generic.v' 2024-01-19 02:41:14,249 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/Ram_1w_1rs_Intel.v' 2024-01-19 02:41:14,249 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/branch_predictor_std.scala' 2024-01-19 02:41:14,250 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/eu_1alu_1share.scala' 2024-01-19 02:41:14,250 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/eu_2alu_1share.scala' 2024-01-19 02:41:14,250 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/fetch.scala' 2024-01-19 02:41:14,251 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/frontend.scala' 2024-01-19 02:41:14,251 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/gen.scala' 2024-01-19 02:41:14,251 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/lsu.scala' 2024-01-19 02:41:14,252 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/misc.scala' 2024-01-19 02:41:14,252 wheel INFO adding 'pythondata_cpu_naxriscv/verilog/configs/single_decode_retire.scala' 2024-01-19 02:41:14,253 wheel INFO adding 'pythondata_cpu_naxriscv-1.0.1.post325.dist-info/METADATA' 2024-01-19 02:41:14,253 wheel INFO adding 'pythondata_cpu_naxriscv-1.0.1.post325.dist-info/WHEEL' 2024-01-19 02:41:14,253 wheel INFO adding 'pythondata_cpu_naxriscv-1.0.1.post325.dist-info/top_level.txt' 2024-01-19 02:41:14,253 wheel INFO adding 'pythondata_cpu_naxriscv-1.0.1.post325.dist-info/RECORD' 2024-01-19 02:41:14,254 wheel INFO removing build/bdist.linux-x86_64/wheel 2024-01-19 02:41:14,255 gpep517 INFO The backend produced dist/pythondata_cpu_naxriscv-1.0.1.post325-py3-none-any.whl pythondata_cpu_naxriscv-1.0.1.post325-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-picorv32 2024-01-19 02:41:14,625 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 02:41:14,666 root INFO running bdist_wheel 2024-01-19 02:41:14,688 root INFO running build 2024-01-19 02:41:14,688 root INFO running build_py 2024-01-19 02:41:14,691 root INFO creating build 2024-01-19 02:41:14,692 root INFO creating build/lib 2024-01-19 02:41:14,692 root INFO creating build/lib/pythondata_cpu_picorv32 2024-01-19 02:41:14,692 root INFO copying pythondata_cpu_picorv32/__init__.py -> build/lib/pythondata_cpu_picorv32 2024-01-19 02:41:14,693 root INFO creating build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,693 root INFO copying pythondata_cpu_picorv32/verilog/showtrace.py -> build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,694 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,694 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/performance.py -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,695 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,695 root INFO copying pythondata_cpu_picorv32/verilog/firmware/makehex.py -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,696 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts 2024-01-19 02:41:14,696 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,696 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/asmcheck.py -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,697 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/config.py -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,697 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 02:41:14,698 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/hex8tohex32.py -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 02:41:14,698 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/map2debug.py -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 02:41:14,698 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 02:41:14,699 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/hex8tohex32.py -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 02:41:14,699 root INFO running egg_info 2024-01-19 02:41:14,699 root INFO creating pythondata_cpu_picorv32.egg-info 2024-01-19 02:41:14,701 root INFO writing pythondata_cpu_picorv32.egg-info/PKG-INFO 2024-01-19 02:41:14,702 root INFO writing dependency_links to pythondata_cpu_picorv32.egg-info/dependency_links.txt 2024-01-19 02:41:14,702 root INFO writing top-level names to pythondata_cpu_picorv32.egg-info/top_level.txt 2024-01-19 02:41:14,702 root INFO writing manifest file 'pythondata_cpu_picorv32.egg-info/SOURCES.txt' 2024-01-19 02:41:14,709 root INFO reading manifest file 'pythondata_cpu_picorv32.egg-info/SOURCES.txt' 2024-01-19 02:41:14,710 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 02:41:14,715 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 02:41:14,719 root INFO writing manifest file 'pythondata_cpu_picorv32.egg-info/SOURCES.txt' 2024-01-19 02:41:14,730 root INFO copying pythondata_cpu_picorv32/verilog/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,730 root INFO copying pythondata_cpu_picorv32/verilog/Makefile -> build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,730 root INFO copying pythondata_cpu_picorv32/verilog/README.md -> build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,731 root INFO copying pythondata_cpu_picorv32/verilog/picorv32.core -> build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,731 root INFO copying pythondata_cpu_picorv32/verilog/picorv32.v -> build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,732 root INFO copying pythondata_cpu_picorv32/verilog/shell.nix -> build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,732 root INFO copying pythondata_cpu_picorv32/verilog/testbench.cc -> build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,732 root INFO copying pythondata_cpu_picorv32/verilog/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,733 root INFO copying pythondata_cpu_picorv32/verilog/testbench_ez.v -> build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,733 root INFO copying pythondata_cpu_picorv32/verilog/testbench_wb.v -> build/lib/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,733 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,734 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,734 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/README -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,734 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/dhry.h -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,735 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/dhry_1.c -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,735 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/dhry_1_orig.c -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,735 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/dhry_2.c -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,736 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/sections.lds -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,736 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/start.S -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,736 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/stdlib.c -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,737 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/syscalls.c -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,737 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,737 root INFO copying pythondata_cpu_picorv32/verilog/dhrystone/testbench_nola.v -> build/lib/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,738 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,738 root INFO copying pythondata_cpu_picorv32/verilog/tests/LICENSE -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,738 root INFO copying pythondata_cpu_picorv32/verilog/tests/README -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,739 root INFO copying pythondata_cpu_picorv32/verilog/tests/add.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,739 root INFO copying pythondata_cpu_picorv32/verilog/tests/addi.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,739 root INFO copying pythondata_cpu_picorv32/verilog/tests/and.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,740 root INFO copying pythondata_cpu_picorv32/verilog/tests/andi.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,740 root INFO copying pythondata_cpu_picorv32/verilog/tests/auipc.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,740 root INFO copying pythondata_cpu_picorv32/verilog/tests/beq.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,741 root INFO copying pythondata_cpu_picorv32/verilog/tests/bge.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,741 root INFO copying pythondata_cpu_picorv32/verilog/tests/bgeu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,741 root INFO copying pythondata_cpu_picorv32/verilog/tests/blt.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,742 root INFO copying pythondata_cpu_picorv32/verilog/tests/bltu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,742 root INFO copying pythondata_cpu_picorv32/verilog/tests/bne.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,742 root INFO copying pythondata_cpu_picorv32/verilog/tests/div.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,742 root INFO copying pythondata_cpu_picorv32/verilog/tests/divu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,743 root INFO copying pythondata_cpu_picorv32/verilog/tests/j.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,743 root INFO copying pythondata_cpu_picorv32/verilog/tests/jal.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,743 root INFO copying pythondata_cpu_picorv32/verilog/tests/jalr.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,744 root INFO copying pythondata_cpu_picorv32/verilog/tests/lb.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,744 root INFO copying pythondata_cpu_picorv32/verilog/tests/lbu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,744 root INFO copying pythondata_cpu_picorv32/verilog/tests/lh.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,744 root INFO copying pythondata_cpu_picorv32/verilog/tests/lhu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,745 root INFO copying pythondata_cpu_picorv32/verilog/tests/lui.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,745 root INFO copying pythondata_cpu_picorv32/verilog/tests/lw.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,745 root INFO copying pythondata_cpu_picorv32/verilog/tests/mul.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,746 root INFO copying pythondata_cpu_picorv32/verilog/tests/mulh.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,746 root INFO copying pythondata_cpu_picorv32/verilog/tests/mulhsu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,746 root INFO copying pythondata_cpu_picorv32/verilog/tests/mulhu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,746 root INFO copying pythondata_cpu_picorv32/verilog/tests/or.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,747 root INFO copying pythondata_cpu_picorv32/verilog/tests/ori.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,747 root INFO copying pythondata_cpu_picorv32/verilog/tests/rem.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,747 root INFO copying pythondata_cpu_picorv32/verilog/tests/remu.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,748 root INFO copying pythondata_cpu_picorv32/verilog/tests/riscv_test.h -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,748 root INFO copying pythondata_cpu_picorv32/verilog/tests/sb.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,748 root INFO copying pythondata_cpu_picorv32/verilog/tests/sh.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,748 root INFO copying pythondata_cpu_picorv32/verilog/tests/simple.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,749 root INFO copying pythondata_cpu_picorv32/verilog/tests/sll.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,749 root INFO copying pythondata_cpu_picorv32/verilog/tests/slli.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,749 root INFO copying pythondata_cpu_picorv32/verilog/tests/slt.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,750 root INFO copying pythondata_cpu_picorv32/verilog/tests/slti.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,750 root INFO copying pythondata_cpu_picorv32/verilog/tests/sra.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,750 root INFO copying pythondata_cpu_picorv32/verilog/tests/srai.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,750 root INFO copying pythondata_cpu_picorv32/verilog/tests/srl.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,751 root INFO copying pythondata_cpu_picorv32/verilog/tests/srli.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,751 root INFO copying pythondata_cpu_picorv32/verilog/tests/sub.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,751 root INFO copying pythondata_cpu_picorv32/verilog/tests/sw.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,752 root INFO copying pythondata_cpu_picorv32/verilog/tests/test_macros.h -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,752 root INFO copying pythondata_cpu_picorv32/verilog/tests/xor.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,752 root INFO copying pythondata_cpu_picorv32/verilog/tests/xori.S -> build/lib/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,752 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,753 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,753 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/README.md -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,753 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/firmware.c -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,754 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.core -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,754 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.pcf -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,754 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,755 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo_tb.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,755 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/ice40up5k_spram.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,755 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/icebreaker.core -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,755 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/icebreaker.pcf -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,756 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/icebreaker.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,756 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/icebreaker_tb.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,756 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/overview.svg -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,757 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/performance.png -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,757 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/picosoc.core -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,757 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/picosoc.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,758 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/sections.lds -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,758 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/simpleuart.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,758 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/spiflash.core -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,758 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/spiflash.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,759 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/spiflash_tb.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,759 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/spimemio.v -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,759 root INFO copying pythondata_cpu_picorv32/verilog/picosoc/start.s -> build/lib/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,760 root INFO copying pythondata_cpu_picorv32/verilog/firmware/README -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,760 root INFO copying pythondata_cpu_picorv32/verilog/firmware/custom_ops.S -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,760 root INFO copying pythondata_cpu_picorv32/verilog/firmware/firmware.h -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,760 root INFO copying pythondata_cpu_picorv32/verilog/firmware/hello.c -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,761 root INFO copying pythondata_cpu_picorv32/verilog/firmware/irq.c -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,761 root INFO copying pythondata_cpu_picorv32/verilog/firmware/multest.c -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,761 root INFO copying pythondata_cpu_picorv32/verilog/firmware/print.c -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,762 root INFO copying pythondata_cpu_picorv32/verilog/firmware/riscv.ld -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,762 root INFO copying pythondata_cpu_picorv32/verilog/firmware/riscv.ld.orig -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,762 root INFO copying pythondata_cpu_picorv32/verilog/firmware/sections.lds -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,762 root INFO copying pythondata_cpu_picorv32/verilog/firmware/sieve.c -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,763 root INFO copying pythondata_cpu_picorv32/verilog/firmware/start.S -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,763 root INFO copying pythondata_cpu_picorv32/verilog/firmware/stats.c -> build/lib/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,763 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 02:41:14,764 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 02:41:14,764 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.lib -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 02:41:14,764 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 02:41:14,764 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.ys -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 02:41:14,765 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys/synth_osu018.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 02:41:14,765 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys/synth_sim.ys -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 02:41:14,765 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 02:41:14,765 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 02:41:14,766 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 02:41:14,766 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/README -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 02:41:14,766 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 02:41:14,767 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 02:41:14,767 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.lds -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 02:41:14,767 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/picorv32_presyn.ys -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 02:41:14,767 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/picorv32_regs.txt -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 02:41:14,768 root INFO copying pythondata_cpu_picorv32/verilog/scripts/presyn/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 02:41:14,768 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,768 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,768 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,769 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,769 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,769 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.lds -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,770 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,770 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area.xdc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,770 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_large.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,770 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_regular.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,771 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_small.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,771 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_top.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,771 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_speed.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,772 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_speed.xdc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,772 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_system.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,772 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/synth_system.xdc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,772 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/system.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,773 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/system_tb.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,773 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/table.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,773 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/tabtest.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,773 root INFO copying pythondata_cpu_picorv32/verilog/scripts/vivado/tabtest.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,774 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,774 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,774 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,774 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,775 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,775 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.lds -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,775 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area.sdc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,776 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_large.qsf -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,776 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_regular.qsf -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,776 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_small.qsf -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,776 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_top.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,777 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_speed.qsf -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,777 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_speed.sdc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,777 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.qsf -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,778 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.sdc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,778 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,778 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/system.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,778 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/system_tb.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,779 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/table.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,779 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/tabtest.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,779 root INFO copying pythondata_cpu_picorv32/verilog/scripts/quartus/tabtest.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,780 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 02:41:14,780 root INFO copying pythondata_cpu_picorv32/verilog/scripts/csmith/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 02:41:14,780 root INFO copying pythondata_cpu_picorv32/verilog/scripts/csmith/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 02:41:14,780 root INFO copying pythondata_cpu_picorv32/verilog/scripts/csmith/riscv-isa-sim.diff -> build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 02:41:14,781 root INFO copying pythondata_cpu_picorv32/verilog/scripts/csmith/start.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 02:41:14,781 root INFO copying pythondata_cpu_picorv32/verilog/scripts/csmith/syscalls.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 02:41:14,781 root INFO copying pythondata_cpu_picorv32/verilog/scripts/csmith/testbench.cc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 02:41:14,781 root INFO copying pythondata_cpu_picorv32/verilog/scripts/csmith/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 02:41:14,782 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,782 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,782 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,782 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,783 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,783 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.smtc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,783 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,784 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/mulcmp.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,784 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/mulcmp.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,784 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/notrap_validop.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,784 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/notrap_validop.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,785 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/opcode.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,785 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.gtkw -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,785 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,786 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.smtc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,786 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,786 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,786 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.smtc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,787 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,787 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp3.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,787 root INFO copying pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp3.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,788 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,788 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,788 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/README -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,788 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/riscv-isa-sim-notrap.diff -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,789 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/riscv-isa-sim-sbreak.diff -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,789 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/riscv-torture-genloop.diff -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,789 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/riscv-torture-rv32.diff -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,790 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/riscv_test.h -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,790 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/sections.lds -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,790 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/test.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,790 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/testbench.cc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,791 root INFO copying pythondata_cpu_picorv32/verilog/scripts/torture/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,791 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 02:41:14,791 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/README.md -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 02:41:14,791 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/lse.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 02:41:14,792 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/synplify.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 02:41:14,792 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/vivado.tcl -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 02:41:14,792 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/yosys_ice40.ys -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 02:41:14,793 root INFO copying pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/yosys_xilinx.ys -> build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 02:41:14,793 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 02:41:14,793 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 02:41:14,793 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 02:41:14,794 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/example.pcf -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 02:41:14,794 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/example.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 02:41:14,794 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/example_tb.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 02:41:14,794 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 02:41:14,795 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 02:41:14,795 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.lds -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 02:41:14,795 root INFO copying pythondata_cpu_picorv32/verilog/scripts/icestorm/readme.md -> build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 02:41:14,796 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 02:41:14,796 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 02:41:14,796 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/firmware.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 02:41:14,796 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/sections.ld -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 02:41:14,797 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/start.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 02:41:14,797 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/syscalls.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 02:41:14,797 root INFO copying pythondata_cpu_picorv32/verilog/scripts/romload/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 02:41:14,798 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 02:41:14,798 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/Makefile -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 02:41:14,798 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/firmware.cc -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 02:41:14,798 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/start.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 02:41:14,799 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/start.ld -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 02:41:14,799 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/syscalls.c -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 02:41:14,799 root INFO copying pythondata_cpu_picorv32/verilog/scripts/cxxdemo/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 02:41:14,799 root INFO creating build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 02:41:14,800 root INFO copying pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/.gitignore -> build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 02:41:14,800 root INFO copying pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/README -> build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 02:41:14,800 root INFO copying pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/run.sh -> build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 02:41:14,801 root INFO copying pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/sections.lds -> build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 02:41:14,801 root INFO copying pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/start.S -> build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 02:41:14,801 root INFO copying pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/testbench.v -> build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 02:41:14,809 wheel INFO installing to build/bdist.linux-x86_64/wheel 2024-01-19 02:41:14,809 root INFO running install 2024-01-19 02:41:14,822 root INFO running install_lib 2024-01-19 02:41:14,824 root INFO creating build/bdist.linux-x86_64 2024-01-19 02:41:14,824 root INFO creating build/bdist.linux-x86_64/wheel 2024-01-19 02:41:14,825 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32 2024-01-19 02:41:14,825 root INFO copying build/lib/pythondata_cpu_picorv32/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32 2024-01-19 02:41:14,825 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,825 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,826 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/testbench_wb.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,826 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,826 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,827 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/sections.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,827 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/dhry_1_orig.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,827 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/syscalls.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,827 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/stdlib.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,828 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/testbench_nola.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,828 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/start.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,828 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/dhry_2.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,828 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/README -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,829 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/dhry_1.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,829 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/dhry.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,829 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/dhrystone/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/dhrystone 2024-01-19 02:41:14,829 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picorv32.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,830 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,830 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,830 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/sub.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,831 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/lb.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,831 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/jalr.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,831 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/sw.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,831 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/lui.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,832 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/add.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,832 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/lhu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,832 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/lbu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,832 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/remu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,833 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/or.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,833 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/andi.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,833 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/xori.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,833 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/mulhsu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,834 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/LICENSE -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,834 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/blt.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,834 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/sb.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,834 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/addi.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,835 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/srli.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,835 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/mul.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,835 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/sll.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,835 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/sh.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,836 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/lh.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,836 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/slti.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,836 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/divu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,836 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/mulhu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,837 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/rem.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,837 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/auipc.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,837 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/mulh.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,837 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/test_macros.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,838 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/jal.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,838 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/simple.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,838 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/bne.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,838 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/srai.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,839 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/riscv_test.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,839 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/lw.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,839 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/j.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,839 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/README -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,840 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/bltu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,840 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/and.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,840 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/div.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,840 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/ori.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,841 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/bge.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,841 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/sra.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,841 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/srl.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,841 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/beq.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,842 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/bgeu.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,842 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/slt.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,842 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/slli.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,842 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/tests/xor.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/tests 2024-01-19 02:41:14,843 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picorv32.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,843 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,843 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,844 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/sections.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,844 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,844 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,845 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/spiflash.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,845 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/start.s -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,845 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/icebreaker_tb.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,845 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,845 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo_tb.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,846 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/icebreaker.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,846 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/spimemio.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,846 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,847 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/spiflash.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,847 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/simpleuart.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,847 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.pcf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,847 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/ice40up5k_spram.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,848 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/firmware.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,848 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/icebreaker.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,849 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/icebreaker.pcf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,849 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/picosoc.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,849 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/overview.svg -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,849 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/spiflash_tb.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,850 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/performance.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,850 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,850 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/performance.png -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,851 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/picosoc/picosoc.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/picosoc 2024-01-19 02:41:14,851 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/showtrace.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,851 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/testbench.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,851 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/testbench_ez.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,852 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/shell.nix -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,852 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts 2024-01-19 02:41:14,852 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 02:41:14,852 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.ys -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 02:41:14,853 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.lib -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 02:41:14,853 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys/synth_sim.ys -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 02:41:14,853 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys/synth_osu018.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 02:41:14,853 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 02:41:14,854 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys 2024-01-19 02:41:14,854 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 02:41:14,854 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 02:41:14,854 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 02:41:14,855 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 02:41:14,855 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/picorv32_regs.txt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 02:41:14,855 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 02:41:14,855 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 02:41:14,856 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/picorv32_presyn.ys -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 02:41:14,856 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/README -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 02:41:14,856 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/presyn/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/presyn 2024-01-19 02:41:14,856 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,857 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_system.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,857 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/tabtest.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,857 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/table.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,857 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_large.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,858 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,858 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/tabtest.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,858 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,858 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,859 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_system.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,859 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/system_tb.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,859 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,859 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,860 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_small.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,860 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_speed.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,860 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_speed.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,860 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,861 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,861 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_top.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,861 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_regular.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,861 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/vivado/system.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/vivado 2024-01-19 02:41:14,862 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,862 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/tabtest.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,862 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/table.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,862 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.sdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,863 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/tabtest.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,863 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,863 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,863 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,864 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/system_tb.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,864 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,864 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,864 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_speed.sdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,865 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_large.qsf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,865 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.qsf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,865 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_speed.qsf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,865 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_small.qsf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,866 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_regular.qsf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,866 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area.sdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,866 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,866 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_top.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,867 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/quartus/system.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/quartus 2024-01-19 02:41:14,867 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 02:41:14,867 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 02:41:14,867 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 02:41:14,868 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith/syscalls.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 02:41:14,868 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith/testbench.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 02:41:14,868 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith/start.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 02:41:14,868 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 02:41:14,869 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/csmith/riscv-isa-sim.diff -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/csmith 2024-01-19 02:41:14,869 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,869 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.smtc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,869 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,870 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.smtc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,870 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,870 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.gtkw -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,870 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,871 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/mulcmp.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,871 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,871 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/opcode.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,871 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,872 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.smtc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,872 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/mulcmp.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,872 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/notrap_validop.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,872 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,873 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp3.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,873 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp3.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,873 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,873 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,874 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,874 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/smtbmc/notrap_validop.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/smtbmc 2024-01-19 02:41:14,874 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,874 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,875 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/sections.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,875 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/riscv-isa-sim-notrap.diff -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,875 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/riscv-isa-sim-sbreak.diff -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,875 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/riscv-torture-genloop.diff -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,876 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,876 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/asmcheck.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,876 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/testbench.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,876 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/test.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,877 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/riscv_test.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,877 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/README -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,877 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/riscv-torture-rv32.diff -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,877 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/config.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,878 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/torture/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/torture 2024-01-19 02:41:14,878 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 02:41:14,878 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/yosys_ice40.ys -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 02:41:14,878 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 02:41:14,879 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/synplify.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 02:41:14,879 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/yosys_xilinx.ys -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 02:41:14,879 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/lse.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 02:41:14,879 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/vivado.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/yosys-cmp 2024-01-19 02:41:14,880 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 02:41:14,880 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/readme.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 02:41:14,880 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/example.pcf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 02:41:14,881 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 02:41:14,881 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 02:41:14,881 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/example.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 02:41:14,881 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 02:41:14,881 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 02:41:14,882 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/example_tb.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 02:41:14,882 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/icestorm/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/icestorm 2024-01-19 02:41:14,882 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 02:41:14,882 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 02:41:14,883 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/hex8tohex32.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 02:41:14,883 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/sections.ld -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 02:41:14,883 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 02:41:14,883 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/syscalls.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 02:41:14,884 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/firmware.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 02:41:14,884 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/start.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 02:41:14,884 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/map2debug.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 02:41:14,884 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/romload/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/romload 2024-01-19 02:41:14,885 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 02:41:14,885 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 02:41:14,885 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/hex8tohex32.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 02:41:14,885 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 02:41:14,886 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/syscalls.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 02:41:14,886 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/start.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 02:41:14,886 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/start.ld -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 02:41:14,886 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 02:41:14,887 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/firmware.cc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/cxxdemo 2024-01-19 02:41:14,887 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 02:41:14,887 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/testbench.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 02:41:14,887 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/run.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 02:41:14,888 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/sections.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 02:41:14,888 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 02:41:14,888 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/start.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 02:41:14,888 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/README -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/scripts/tomthumbtg 2024-01-19 02:41:14,889 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog 2024-01-19 02:41:14,889 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,889 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/custom_ops.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,890 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/sections.lds -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,890 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/hello.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,890 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/irq.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,890 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/print.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,891 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/stats.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,891 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/multest.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,891 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/makehex.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,891 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/sieve.c -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,892 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/start.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,892 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/riscv.ld -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,892 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/firmware.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,892 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/README -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,893 root INFO copying build/lib/pythondata_cpu_picorv32/verilog/firmware/riscv.ld.orig -> build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32/verilog/firmware 2024-01-19 02:41:14,893 root INFO running install_egg_info 2024-01-19 02:41:14,898 root INFO Copying pythondata_cpu_picorv32.egg-info to build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32-1.0.post88-py3.11.egg-info 2024-01-19 02:41:14,899 root INFO running install_scripts 2024-01-19 02:41:14,901 wheel INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_picorv32-1.0.post88.dist-info/WHEEL 2024-01-19 02:41:14,902 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/pythondata-cpu-picorv32-2020.04/dist/.tmp-qw_culj0/pythondata_cpu_picorv32-1.0.post88-py3-none-any.whl' and adding 'build/bdist.linux-x86_64/wheel' to it 2024-01-19 02:41:14,902 wheel INFO adding 'pythondata_cpu_picorv32/__init__.py' 2024-01-19 02:41:14,903 wheel INFO adding 'pythondata_cpu_picorv32/verilog/.gitignore' 2024-01-19 02:41:14,903 wheel INFO adding 'pythondata_cpu_picorv32/verilog/Makefile' 2024-01-19 02:41:14,904 wheel INFO adding 'pythondata_cpu_picorv32/verilog/README.md' 2024-01-19 02:41:14,904 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picorv32.core' 2024-01-19 02:41:14,905 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picorv32.v' 2024-01-19 02:41:14,906 wheel INFO adding 'pythondata_cpu_picorv32/verilog/shell.nix' 2024-01-19 02:41:14,906 wheel INFO adding 'pythondata_cpu_picorv32/verilog/showtrace.py' 2024-01-19 02:41:14,906 wheel INFO adding 'pythondata_cpu_picorv32/verilog/testbench.cc' 2024-01-19 02:41:14,907 wheel INFO adding 'pythondata_cpu_picorv32/verilog/testbench.v' 2024-01-19 02:41:14,907 wheel INFO adding 'pythondata_cpu_picorv32/verilog/testbench_ez.v' 2024-01-19 02:41:14,908 wheel INFO adding 'pythondata_cpu_picorv32/verilog/testbench_wb.v' 2024-01-19 02:41:14,908 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/Makefile' 2024-01-19 02:41:14,908 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/README' 2024-01-19 02:41:14,909 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/dhry.h' 2024-01-19 02:41:14,909 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/dhry_1.c' 2024-01-19 02:41:14,910 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/dhry_1_orig.c' 2024-01-19 02:41:14,910 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/dhry_2.c' 2024-01-19 02:41:14,910 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/sections.lds' 2024-01-19 02:41:14,911 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/start.S' 2024-01-19 02:41:14,911 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/stdlib.c' 2024-01-19 02:41:14,911 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/syscalls.c' 2024-01-19 02:41:14,912 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/testbench.v' 2024-01-19 02:41:14,912 wheel INFO adding 'pythondata_cpu_picorv32/verilog/dhrystone/testbench_nola.v' 2024-01-19 02:41:14,912 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/README' 2024-01-19 02:41:14,913 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/custom_ops.S' 2024-01-19 02:41:14,913 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/firmware.h' 2024-01-19 02:41:14,913 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/hello.c' 2024-01-19 02:41:14,914 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/irq.c' 2024-01-19 02:41:14,914 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/makehex.py' 2024-01-19 02:41:14,914 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/multest.c' 2024-01-19 02:41:14,914 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/print.c' 2024-01-19 02:41:14,915 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/riscv.ld' 2024-01-19 02:41:14,915 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/riscv.ld.orig' 2024-01-19 02:41:14,915 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/sections.lds' 2024-01-19 02:41:14,916 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/sieve.c' 2024-01-19 02:41:14,916 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/start.S' 2024-01-19 02:41:14,916 wheel INFO adding 'pythondata_cpu_picorv32/verilog/firmware/stats.c' 2024-01-19 02:41:14,917 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/.gitignore' 2024-01-19 02:41:14,917 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/Makefile' 2024-01-19 02:41:14,917 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/README.md' 2024-01-19 02:41:14,918 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/firmware.c' 2024-01-19 02:41:14,918 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.core' 2024-01-19 02:41:14,918 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.pcf' 2024-01-19 02:41:14,919 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo.v' 2024-01-19 02:41:14,919 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/hx8kdemo_tb.v' 2024-01-19 02:41:14,919 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/ice40up5k_spram.v' 2024-01-19 02:41:14,920 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/icebreaker.core' 2024-01-19 02:41:14,920 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/icebreaker.pcf' 2024-01-19 02:41:14,920 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/icebreaker.v' 2024-01-19 02:41:14,921 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/icebreaker_tb.v' 2024-01-19 02:41:14,921 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/overview.svg' 2024-01-19 02:41:14,922 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/performance.png' 2024-01-19 02:41:14,923 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/performance.py' 2024-01-19 02:41:14,923 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/picosoc.core' 2024-01-19 02:41:14,923 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/picosoc.v' 2024-01-19 02:41:14,924 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/sections.lds' 2024-01-19 02:41:14,924 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/simpleuart.v' 2024-01-19 02:41:14,924 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/spiflash.core' 2024-01-19 02:41:14,925 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/spiflash.v' 2024-01-19 02:41:14,925 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/spiflash_tb.v' 2024-01-19 02:41:14,926 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/spimemio.v' 2024-01-19 02:41:14,926 wheel INFO adding 'pythondata_cpu_picorv32/verilog/picosoc/start.s' 2024-01-19 02:41:14,926 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/csmith/.gitignore' 2024-01-19 02:41:14,927 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/csmith/Makefile' 2024-01-19 02:41:14,927 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/csmith/riscv-isa-sim.diff' 2024-01-19 02:41:14,927 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/csmith/start.S' 2024-01-19 02:41:14,928 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/csmith/syscalls.c' 2024-01-19 02:41:14,928 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/csmith/testbench.cc' 2024-01-19 02:41:14,928 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/csmith/testbench.v' 2024-01-19 02:41:14,929 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/.gitignore' 2024-01-19 02:41:14,929 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/Makefile' 2024-01-19 02:41:14,929 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/firmware.cc' 2024-01-19 02:41:14,930 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/hex8tohex32.py' 2024-01-19 02:41:14,930 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/start.S' 2024-01-19 02:41:14,930 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/start.ld' 2024-01-19 02:41:14,931 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/syscalls.c' 2024-01-19 02:41:14,931 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/cxxdemo/testbench.v' 2024-01-19 02:41:14,931 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/.gitignore' 2024-01-19 02:41:14,932 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/Makefile' 2024-01-19 02:41:14,932 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/example.pcf' 2024-01-19 02:41:14,932 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/example.v' 2024-01-19 02:41:14,932 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/example_tb.v' 2024-01-19 02:41:14,933 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.S' 2024-01-19 02:41:14,933 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.c' 2024-01-19 02:41:14,933 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/firmware.lds' 2024-01-19 02:41:14,934 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/icestorm/readme.md' 2024-01-19 02:41:14,934 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/.gitignore' 2024-01-19 02:41:14,934 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/Makefile' 2024-01-19 02:41:14,934 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/README' 2024-01-19 02:41:14,935 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.S' 2024-01-19 02:41:14,935 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.c' 2024-01-19 02:41:14,935 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/firmware.lds' 2024-01-19 02:41:14,936 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/picorv32_presyn.ys' 2024-01-19 02:41:14,936 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/picorv32_regs.txt' 2024-01-19 02:41:14,936 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/presyn/testbench.v' 2024-01-19 02:41:14,937 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/.gitignore' 2024-01-19 02:41:14,937 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/Makefile' 2024-01-19 02:41:14,937 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.S' 2024-01-19 02:41:14,938 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.c' 2024-01-19 02:41:14,938 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/firmware.lds' 2024-01-19 02:41:14,938 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area.sdc' 2024-01-19 02:41:14,939 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_large.qsf' 2024-01-19 02:41:14,939 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_regular.qsf' 2024-01-19 02:41:14,939 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_small.qsf' 2024-01-19 02:41:14,939 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_area_top.v' 2024-01-19 02:41:14,940 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_speed.qsf' 2024-01-19 02:41:14,940 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_speed.sdc' 2024-01-19 02:41:14,940 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.qsf' 2024-01-19 02:41:14,941 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.sdc' 2024-01-19 02:41:14,941 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/synth_system.tcl' 2024-01-19 02:41:14,941 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/system.v' 2024-01-19 02:41:14,941 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/system_tb.v' 2024-01-19 02:41:14,942 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/table.sh' 2024-01-19 02:41:14,942 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/tabtest.sh' 2024-01-19 02:41:14,942 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/quartus/tabtest.v' 2024-01-19 02:41:14,943 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/.gitignore' 2024-01-19 02:41:14,943 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/Makefile' 2024-01-19 02:41:14,943 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/firmware.c' 2024-01-19 02:41:14,943 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/hex8tohex32.py' 2024-01-19 02:41:14,944 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/map2debug.py' 2024-01-19 02:41:14,944 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/sections.ld' 2024-01-19 02:41:14,944 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/start.S' 2024-01-19 02:41:14,945 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/syscalls.c' 2024-01-19 02:41:14,945 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/romload/testbench.v' 2024-01-19 02:41:14,945 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/.gitignore' 2024-01-19 02:41:14,945 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck.sh' 2024-01-19 02:41:14,946 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck.v' 2024-01-19 02:41:14,946 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.sh' 2024-01-19 02:41:14,946 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.smtc' 2024-01-19 02:41:14,947 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/axicheck2.v' 2024-01-19 02:41:14,947 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/mulcmp.sh' 2024-01-19 02:41:14,947 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/mulcmp.v' 2024-01-19 02:41:14,947 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/notrap_validop.sh' 2024-01-19 02:41:14,948 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/notrap_validop.v' 2024-01-19 02:41:14,948 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/opcode.v' 2024-01-19 02:41:14,948 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.gtkw' 2024-01-19 02:41:14,949 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.sh' 2024-01-19 02:41:14,949 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.smtc' 2024-01-19 02:41:14,949 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp.v' 2024-01-19 02:41:14,949 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.sh' 2024-01-19 02:41:14,950 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.smtc' 2024-01-19 02:41:14,950 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp2.v' 2024-01-19 02:41:14,950 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp3.sh' 2024-01-19 02:41:14,951 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/smtbmc/tracecmp3.v' 2024-01-19 02:41:14,951 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/.gitignore' 2024-01-19 02:41:14,951 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/README' 2024-01-19 02:41:14,952 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/run.sh' 2024-01-19 02:41:14,952 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/sections.lds' 2024-01-19 02:41:14,952 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/start.S' 2024-01-19 02:41:14,952 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/tomthumbtg/testbench.v' 2024-01-19 02:41:14,953 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/.gitignore' 2024-01-19 02:41:14,953 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/Makefile' 2024-01-19 02:41:14,953 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/README' 2024-01-19 02:41:14,954 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/asmcheck.py' 2024-01-19 02:41:14,954 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/config.py' 2024-01-19 02:41:14,954 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/riscv-isa-sim-notrap.diff' 2024-01-19 02:41:14,954 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/riscv-isa-sim-sbreak.diff' 2024-01-19 02:41:14,955 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/riscv-torture-genloop.diff' 2024-01-19 02:41:14,955 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/riscv-torture-rv32.diff' 2024-01-19 02:41:14,955 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/riscv_test.h' 2024-01-19 02:41:14,956 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/sections.lds' 2024-01-19 02:41:14,956 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/test.sh' 2024-01-19 02:41:14,956 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/testbench.cc' 2024-01-19 02:41:14,956 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/torture/testbench.v' 2024-01-19 02:41:14,957 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/.gitignore' 2024-01-19 02:41:14,957 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/Makefile' 2024-01-19 02:41:14,957 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.S' 2024-01-19 02:41:14,958 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.c' 2024-01-19 02:41:14,958 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/firmware.lds' 2024-01-19 02:41:14,958 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area.tcl' 2024-01-19 02:41:14,958 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area.xdc' 2024-01-19 02:41:14,959 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_large.tcl' 2024-01-19 02:41:14,959 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_regular.tcl' 2024-01-19 02:41:14,959 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_small.tcl' 2024-01-19 02:41:14,959 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_area_top.v' 2024-01-19 02:41:14,960 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_speed.tcl' 2024-01-19 02:41:14,960 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_speed.xdc' 2024-01-19 02:41:14,960 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_system.tcl' 2024-01-19 02:41:14,961 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/synth_system.xdc' 2024-01-19 02:41:14,961 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/system.v' 2024-01-19 02:41:14,961 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/system_tb.v' 2024-01-19 02:41:14,961 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/table.sh' 2024-01-19 02:41:14,962 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/tabtest.sh' 2024-01-19 02:41:14,962 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/vivado/tabtest.v' 2024-01-19 02:41:14,962 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys/.gitignore' 2024-01-19 02:41:14,963 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.lib' 2024-01-19 02:41:14,963 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.v' 2024-01-19 02:41:14,963 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys/synth_gates.ys' 2024-01-19 02:41:14,963 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys/synth_osu018.sh' 2024-01-19 02:41:14,964 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys/synth_sim.ys' 2024-01-19 02:41:14,964 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/README.md' 2024-01-19 02:41:14,964 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/lse.sh' 2024-01-19 02:41:14,965 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/synplify.sh' 2024-01-19 02:41:14,965 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/vivado.tcl' 2024-01-19 02:41:14,965 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/yosys_ice40.ys' 2024-01-19 02:41:14,965 wheel INFO adding 'pythondata_cpu_picorv32/verilog/scripts/yosys-cmp/yosys_xilinx.ys' 2024-01-19 02:41:14,966 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/LICENSE' 2024-01-19 02:41:14,966 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/README' 2024-01-19 02:41:14,966 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/add.S' 2024-01-19 02:41:14,967 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/addi.S' 2024-01-19 02:41:14,967 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/and.S' 2024-01-19 02:41:14,967 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/andi.S' 2024-01-19 02:41:14,967 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/auipc.S' 2024-01-19 02:41:14,968 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/beq.S' 2024-01-19 02:41:14,968 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/bge.S' 2024-01-19 02:41:14,968 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/bgeu.S' 2024-01-19 02:41:14,969 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/blt.S' 2024-01-19 02:41:14,969 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/bltu.S' 2024-01-19 02:41:14,969 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/bne.S' 2024-01-19 02:41:14,969 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/div.S' 2024-01-19 02:41:14,970 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/divu.S' 2024-01-19 02:41:14,970 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/j.S' 2024-01-19 02:41:14,970 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/jal.S' 2024-01-19 02:41:14,971 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/jalr.S' 2024-01-19 02:41:14,971 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/lb.S' 2024-01-19 02:41:14,971 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/lbu.S' 2024-01-19 02:41:14,971 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/lh.S' 2024-01-19 02:41:14,972 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/lhu.S' 2024-01-19 02:41:14,972 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/lui.S' 2024-01-19 02:41:14,972 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/lw.S' 2024-01-19 02:41:14,972 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/mul.S' 2024-01-19 02:41:14,973 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/mulh.S' 2024-01-19 02:41:14,973 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/mulhsu.S' 2024-01-19 02:41:14,974 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/mulhu.S' 2024-01-19 02:41:14,974 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/or.S' 2024-01-19 02:41:14,974 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/ori.S' 2024-01-19 02:41:14,975 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/rem.S' 2024-01-19 02:41:14,975 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/remu.S' 2024-01-19 02:41:14,975 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/riscv_test.h' 2024-01-19 02:41:14,975 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/sb.S' 2024-01-19 02:41:14,976 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/sh.S' 2024-01-19 02:41:14,976 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/simple.S' 2024-01-19 02:41:14,976 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/sll.S' 2024-01-19 02:41:14,977 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/slli.S' 2024-01-19 02:41:14,977 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/slt.S' 2024-01-19 02:41:14,977 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/slti.S' 2024-01-19 02:41:14,977 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/sra.S' 2024-01-19 02:41:14,978 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/srai.S' 2024-01-19 02:41:14,978 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/srl.S' 2024-01-19 02:41:14,978 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/srli.S' 2024-01-19 02:41:14,979 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/sub.S' 2024-01-19 02:41:14,979 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/sw.S' 2024-01-19 02:41:14,979 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/test_macros.h' 2024-01-19 02:41:14,980 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/xor.S' 2024-01-19 02:41:14,980 wheel INFO adding 'pythondata_cpu_picorv32/verilog/tests/xori.S' 2024-01-19 02:41:14,980 wheel INFO adding 'pythondata_cpu_picorv32-1.0.post88.dist-info/METADATA' 2024-01-19 02:41:14,980 wheel INFO adding 'pythondata_cpu_picorv32-1.0.post88.dist-info/WHEEL' 2024-01-19 02:41:14,981 wheel INFO adding 'pythondata_cpu_picorv32-1.0.post88.dist-info/top_level.txt' 2024-01-19 02:41:14,982 wheel INFO adding 'pythondata_cpu_picorv32-1.0.post88.dist-info/RECORD' 2024-01-19 02:41:14,984 wheel INFO removing build/bdist.linux-x86_64/wheel 2024-01-19 02:41:14,991 gpep517 INFO The backend produced dist/pythondata_cpu_picorv32-1.0.post88-py3-none-any.whl pythondata_cpu_picorv32-1.0.post88-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-rocket 2024-01-19 02:41:15,306 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 02:41:15,346 root INFO running bdist_wheel 2024-01-19 02:41:15,368 root INFO running build 2024-01-19 02:41:15,368 root INFO running build_py 2024-01-19 02:41:15,371 root INFO creating build 2024-01-19 02:41:15,371 root INFO creating build/lib 2024-01-19 02:41:15,372 root INFO creating build/lib/pythondata_cpu_rocket 2024-01-19 02:41:15,372 root INFO copying pythondata_cpu_rocket/__init__.py -> build/lib/pythondata_cpu_rocket 2024-01-19 02:41:15,373 root INFO running egg_info 2024-01-19 02:41:15,373 root INFO creating pythondata_cpu_rocket.egg-info 2024-01-19 02:41:15,375 root INFO writing pythondata_cpu_rocket.egg-info/PKG-INFO 2024-01-19 02:41:15,375 root INFO writing dependency_links to pythondata_cpu_rocket.egg-info/dependency_links.txt 2024-01-19 02:41:15,376 root INFO writing top-level names to pythondata_cpu_rocket.egg-info/top_level.txt 2024-01-19 02:41:15,376 root INFO writing manifest file 'pythondata_cpu_rocket.egg-info/SOURCES.txt' 2024-01-19 02:41:15,380 root INFO reading manifest file 'pythondata_cpu_rocket.egg-info/SOURCES.txt' 2024-01-19 02:41:15,380 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 02:41:15,382 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 02:41:15,385 root INFO writing manifest file 'pythondata_cpu_rocket.egg-info/SOURCES.txt' 2024-01-19 02:41:15,389 root INFO creating build/lib/pythondata_cpu_rocket/verilog 2024-01-19 02:41:15,389 root INFO copying pythondata_cpu_rocket/verilog/.gitignore -> build/lib/pythondata_cpu_rocket/verilog 2024-01-19 02:41:15,390 root INFO copying pythondata_cpu_rocket/verilog/README.md -> build/lib/pythondata_cpu_rocket/verilog 2024-01-19 02:41:15,390 root INFO copying pythondata_cpu_rocket/verilog/_upstream.rev -> build/lib/pythondata_cpu_rocket/verilog 2024-01-19 02:41:15,391 root INFO copying pythondata_cpu_rocket/verilog/update.sh -> build/lib/pythondata_cpu_rocket/verilog 2024-01-19 02:41:15,391 root INFO creating build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,391 root INFO copying pythondata_cpu_rocket/verilog/generated-src/TestHarness.anno.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,394 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x0.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,394 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x0.1.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,395 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x2000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,396 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x40.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,396 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0xc000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,396 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.anno.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,398 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.behav_srams.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,399 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,399 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.d -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,399 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.dts -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,399 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.fir -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,422 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.graphml -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,423 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,423 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.memmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,423 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.plusArgs -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,424 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.rom.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,424 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,446 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x0.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,446 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x0.1.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,447 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x2000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,448 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x40.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,448 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0xc000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,448 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.anno.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,451 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.behav_srams.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,451 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,452 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.d -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,452 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.dts -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,452 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.fir -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,478 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.graphml -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,479 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,480 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.memmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,480 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.plusArgs -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,481 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.rom.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,481 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,509 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x0.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,510 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x0.1.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,511 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x2000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,511 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x40.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,512 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0xc000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,512 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.anno.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,514 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.behav_srams.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,515 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,515 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.d -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,515 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.dts -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,516 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.fir -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,539 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.graphml -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,540 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,540 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.memmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,541 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.plusArgs -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,541 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.rom.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,541 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,565 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x0.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,566 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x0.1.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,567 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x2000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,567 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x40.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,568 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0xc000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,568 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.anno.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,571 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.behav_srams.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,571 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,571 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.d -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,572 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.dts -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,572 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.fir -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,595 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.graphml -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,596 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,597 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.memmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,597 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.plusArgs -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,597 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.rom.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,598 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,622 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x0.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,623 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x0.1.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,624 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x2000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,625 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x40.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,625 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0xc000000.0.regmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,625 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.anno.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,628 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.behav_srams.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,628 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,628 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.d -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,629 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.dts -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,629 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.fir -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,652 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.graphml -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,653 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,653 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.memmap.json -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,654 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.plusArgs -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,654 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.rom.conf -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,654 root INFO copying pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.v -> build/lib/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,679 root INFO creating build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 02:41:15,679 root INFO copying pythondata_cpu_rocket/verilog/vsrc/AsyncResetReg.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 02:41:15,680 root INFO copying pythondata_cpu_rocket/verilog/vsrc/ClockDivider2.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 02:41:15,680 root INFO copying pythondata_cpu_rocket/verilog/vsrc/ClockDivider3.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 02:41:15,680 root INFO copying pythondata_cpu_rocket/verilog/vsrc/EICG_wrapper.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 02:41:15,681 root INFO copying pythondata_cpu_rocket/verilog/vsrc/RoccBlackBox.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 02:41:15,681 root INFO copying pythondata_cpu_rocket/verilog/vsrc/SimDTM.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 02:41:15,681 root INFO copying pythondata_cpu_rocket/verilog/vsrc/SimJTAG.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 02:41:15,682 root INFO copying pythondata_cpu_rocket/verilog/vsrc/TestDriver.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 02:41:15,682 root INFO copying pythondata_cpu_rocket/verilog/vsrc/plusarg_reader.v -> build/lib/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 02:41:15,689 wheel INFO installing to build/bdist.linux-x86_64/wheel 2024-01-19 02:41:15,689 root INFO running install 2024-01-19 02:41:15,702 root INFO running install_lib 2024-01-19 02:41:15,704 root INFO creating build/bdist.linux-x86_64 2024-01-19 02:41:15,704 root INFO creating build/bdist.linux-x86_64/wheel 2024-01-19 02:41:15,705 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket 2024-01-19 02:41:15,705 root INFO copying build/lib/pythondata_cpu_rocket/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket 2024-01-19 02:41:15,705 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog 2024-01-19 02:41:15,705 root INFO copying build/lib/pythondata_cpu_rocket/verilog/_upstream.rev -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog 2024-01-19 02:41:15,706 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,706 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0xc000000.0.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,706 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.d -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,707 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.anno.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,709 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x40.0.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,709 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x0.0.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,710 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x40.0.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,710 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0xc000000.0.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,710 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.fir -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,727 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,748 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.memmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,748 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.plusArgs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,749 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x0.0.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,749 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.fir -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,769 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.dts -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,769 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.rom.conf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,769 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.d -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,769 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0xc000000.0.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,770 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,786 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.conf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,786 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.behav_srams.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,786 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.conf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,786 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.dts -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,787 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.rom.conf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,787 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x40.0.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,787 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.dts -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,787 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.dts -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,787 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.memmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,788 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x2000000.0.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,788 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,805 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.rom.conf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,806 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.d -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,806 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.behav_srams.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,806 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x40.0.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,806 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x40.0.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,807 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0xc000000.0.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,807 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.plusArgs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,807 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.anno.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,809 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.memmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,809 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.conf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,809 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0xc000000.0.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,809 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/TestHarness.anno.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,811 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,811 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.conf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,812 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.memmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,812 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x2000000.0.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,812 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.plusArgs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,812 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.d -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,812 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.graphml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,813 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.plusArgs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,813 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,813 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.d -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,814 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.anno.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,815 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.graphml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,815 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,815 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x0.1.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,816 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x0.1.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,817 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x0.1.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,817 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.anno.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,818 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.graphml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,819 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.anno.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,820 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x0.0.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,820 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x2000000.0.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,820 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.fir -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,837 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.graphml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,837 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.graphml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,838 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.rom.conf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,838 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.memmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,839 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,839 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.rom.conf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,839 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x0.1.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,840 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.fir -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,857 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.conf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,858 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.behav_srams.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,858 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.dts -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,858 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.fir -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,876 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,894 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.behav_srams.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,895 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,913 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x0.0.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,913 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x0.0.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,914 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.plusArgs -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,914 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.behav_srams.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,914 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x2000000.0.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,914 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,915 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x0.1.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,916 root INFO copying build/lib/pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x2000000.0.regmap.json -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/generated-src 2024-01-19 02:41:15,916 root INFO copying build/lib/pythondata_cpu_rocket/verilog/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog 2024-01-19 02:41:15,916 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 02:41:15,916 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/EICG_wrapper.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 02:41:15,917 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/SimDTM.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 02:41:15,917 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/AsyncResetReg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 02:41:15,917 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/ClockDivider3.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 02:41:15,917 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/TestDriver.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 02:41:15,917 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/ClockDivider2.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 02:41:15,918 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/RoccBlackBox.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 02:41:15,918 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/SimJTAG.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 02:41:15,918 root INFO copying build/lib/pythondata_cpu_rocket/verilog/vsrc/plusarg_reader.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog/vsrc 2024-01-19 02:41:15,918 root INFO copying build/lib/pythondata_cpu_rocket/verilog/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog 2024-01-19 02:41:15,919 root INFO copying build/lib/pythondata_cpu_rocket/verilog/update.sh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket/verilog 2024-01-19 02:41:15,919 root INFO running install_egg_info 2024-01-19 02:41:15,923 root INFO Copying pythondata_cpu_rocket.egg-info to build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket-0.0.post7053-py3.11.egg-info 2024-01-19 02:41:15,924 root INFO running install_scripts 2024-01-19 02:41:15,925 wheel INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_rocket-0.0.post7053.dist-info/WHEEL 2024-01-19 02:41:15,926 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/pythondata-cpu-rocket-2020.04/dist/.tmp-whxevgob/pythondata_cpu_rocket-0.0.post7053-py3-none-any.whl' and adding 'build/bdist.linux-x86_64/wheel' to it 2024-01-19 02:41:15,926 wheel INFO adding 'pythondata_cpu_rocket/__init__.py' 2024-01-19 02:41:15,927 wheel INFO adding 'pythondata_cpu_rocket/verilog/.gitignore' 2024-01-19 02:41:15,927 wheel INFO adding 'pythondata_cpu_rocket/verilog/README.md' 2024-01-19 02:41:15,927 wheel INFO adding 'pythondata_cpu_rocket/verilog/_upstream.rev' 2024-01-19 02:41:15,927 wheel INFO adding 'pythondata_cpu_rocket/verilog/update.sh' 2024-01-19 02:41:15,929 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/TestHarness.anno.json' 2024-01-19 02:41:15,932 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x0.0.regmap.json' 2024-01-19 02:41:15,933 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x0.1.regmap.json' 2024-01-19 02:41:15,934 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x2000000.0.regmap.json' 2024-01-19 02:41:15,935 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0x40.0.regmap.json' 2024-01-19 02:41:15,935 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.0xc000000.0.regmap.json' 2024-01-19 02:41:15,937 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.anno.json' 2024-01-19 02:41:15,939 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.behav_srams.v' 2024-01-19 02:41:15,939 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.conf' 2024-01-19 02:41:15,940 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.d' 2024-01-19 02:41:15,940 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.dts' 2024-01-19 02:41:15,966 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.fir' 2024-01-19 02:41:16,013 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.graphml' 2024-01-19 02:41:16,014 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.json' 2024-01-19 02:41:16,015 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.memmap.json' 2024-01-19 02:41:16,015 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.plusArgs' 2024-01-19 02:41:16,015 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.rom.conf' 2024-01-19 02:41:16,037 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexConfig.v' 2024-01-19 02:41:16,079 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x0.0.regmap.json' 2024-01-19 02:41:16,080 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x0.1.regmap.json' 2024-01-19 02:41:16,081 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x2000000.0.regmap.json' 2024-01-19 02:41:16,082 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0x40.0.regmap.json' 2024-01-19 02:41:16,082 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.0xc000000.0.regmap.json' 2024-01-19 02:41:16,083 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.anno.json' 2024-01-19 02:41:16,086 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.behav_srams.v' 2024-01-19 02:41:16,086 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.conf' 2024-01-19 02:41:16,087 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.d' 2024-01-19 02:41:16,087 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.dts' 2024-01-19 02:41:16,116 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.fir' 2024-01-19 02:41:16,171 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.graphml' 2024-01-19 02:41:16,172 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.json' 2024-01-19 02:41:16,172 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.memmap.json' 2024-01-19 02:41:16,172 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.plusArgs' 2024-01-19 02:41:16,172 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.rom.conf' 2024-01-19 02:41:16,202 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexFullConfig.v' 2024-01-19 02:41:16,260 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x0.0.regmap.json' 2024-01-19 02:41:16,261 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x0.1.regmap.json' 2024-01-19 02:41:16,262 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x2000000.0.regmap.json' 2024-01-19 02:41:16,262 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0x40.0.regmap.json' 2024-01-19 02:41:16,262 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.0xc000000.0.regmap.json' 2024-01-19 02:41:16,264 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.anno.json' 2024-01-19 02:41:16,267 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.behav_srams.v' 2024-01-19 02:41:16,267 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.conf' 2024-01-19 02:41:16,267 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.d' 2024-01-19 02:41:16,268 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.dts' 2024-01-19 02:41:16,293 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.fir' 2024-01-19 02:41:16,342 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.graphml' 2024-01-19 02:41:16,343 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.json' 2024-01-19 02:41:16,343 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.memmap.json' 2024-01-19 02:41:16,343 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.plusArgs' 2024-01-19 02:41:16,343 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.rom.conf' 2024-01-19 02:41:16,369 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxConfig.v' 2024-01-19 02:41:16,417 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x0.0.regmap.json' 2024-01-19 02:41:16,418 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x0.1.regmap.json' 2024-01-19 02:41:16,419 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x2000000.0.regmap.json' 2024-01-19 02:41:16,420 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0x40.0.regmap.json' 2024-01-19 02:41:16,420 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.0xc000000.0.regmap.json' 2024-01-19 02:41:16,421 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.anno.json' 2024-01-19 02:41:16,424 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.behav_srams.v' 2024-01-19 02:41:16,424 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.conf' 2024-01-19 02:41:16,425 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.d' 2024-01-19 02:41:16,425 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.dts' 2024-01-19 02:41:16,450 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.fir' 2024-01-19 02:41:16,499 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.graphml' 2024-01-19 02:41:16,500 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.json' 2024-01-19 02:41:16,500 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.memmap.json' 2024-01-19 02:41:16,501 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.plusArgs' 2024-01-19 02:41:16,501 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.rom.conf' 2024-01-19 02:41:16,526 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxDConfig.v' 2024-01-19 02:41:16,575 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x0.0.regmap.json' 2024-01-19 02:41:16,576 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x0.1.regmap.json' 2024-01-19 02:41:16,577 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x2000000.0.regmap.json' 2024-01-19 02:41:16,578 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0x40.0.regmap.json' 2024-01-19 02:41:16,578 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.0xc000000.0.regmap.json' 2024-01-19 02:41:16,579 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.anno.json' 2024-01-19 02:41:16,582 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.behav_srams.v' 2024-01-19 02:41:16,582 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.conf' 2024-01-19 02:41:16,583 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.d' 2024-01-19 02:41:16,583 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.dts' 2024-01-19 02:41:16,609 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.fir' 2024-01-19 02:41:16,658 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.graphml' 2024-01-19 02:41:16,659 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.json' 2024-01-19 02:41:16,659 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.memmap.json' 2024-01-19 02:41:16,659 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.plusArgs' 2024-01-19 02:41:16,660 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.rom.conf' 2024-01-19 02:41:16,685 wheel INFO adding 'pythondata_cpu_rocket/verilog/generated-src/freechips.rocketchip.system.LitexLinuxQConfig.v' 2024-01-19 02:41:16,735 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/AsyncResetReg.v' 2024-01-19 02:41:16,735 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/ClockDivider2.v' 2024-01-19 02:41:16,735 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/ClockDivider3.v' 2024-01-19 02:41:16,736 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/EICG_wrapper.v' 2024-01-19 02:41:16,736 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/RoccBlackBox.v' 2024-01-19 02:41:16,736 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/SimDTM.v' 2024-01-19 02:41:16,736 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/SimJTAG.v' 2024-01-19 02:41:16,737 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/TestDriver.v' 2024-01-19 02:41:16,737 wheel INFO adding 'pythondata_cpu_rocket/verilog/vsrc/plusarg_reader.v' 2024-01-19 02:41:16,737 wheel INFO adding 'pythondata_cpu_rocket-0.0.post7053.dist-info/METADATA' 2024-01-19 02:41:16,737 wheel INFO adding 'pythondata_cpu_rocket-0.0.post7053.dist-info/WHEEL' 2024-01-19 02:41:16,737 wheel INFO adding 'pythondata_cpu_rocket-0.0.post7053.dist-info/top_level.txt' 2024-01-19 02:41:16,738 wheel INFO adding 'pythondata_cpu_rocket-0.0.post7053.dist-info/RECORD' 2024-01-19 02:41:16,739 wheel INFO removing build/bdist.linux-x86_64/wheel 2024-01-19 02:41:16,763 gpep517 INFO The backend produced dist/pythondata_cpu_rocket-0.0.post7053-py3-none-any.whl pythondata_cpu_rocket-0.0.post7053-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-serv 2024-01-19 02:41:17,119 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 02:41:17,160 root INFO running bdist_wheel 2024-01-19 02:41:17,182 root INFO running build 2024-01-19 02:41:17,182 root INFO running build_py 2024-01-19 02:41:17,185 root INFO creating build 2024-01-19 02:41:17,185 root INFO creating build/lib 2024-01-19 02:41:17,185 root INFO creating build/lib/pythondata_cpu_serv 2024-01-19 02:41:17,186 root INFO copying pythondata_cpu_serv/__init__.py -> build/lib/pythondata_cpu_serv 2024-01-19 02:41:17,186 root INFO creating build/lib/pythondata_cpu_serv/verilog 2024-01-19 02:41:17,187 root INFO creating build/lib/pythondata_cpu_serv/verilog/sw 2024-01-19 02:41:17,187 root INFO copying pythondata_cpu_serv/verilog/sw/makehex.py -> build/lib/pythondata_cpu_serv/verilog/sw 2024-01-19 02:41:17,188 root INFO creating build/lib/pythondata_cpu_serv/verilog/riscv-target 2024-01-19 02:41:17,188 root INFO creating build/lib/pythondata_cpu_serv/verilog/riscv-target/serv 2024-01-19 02:41:17,188 root INFO copying pythondata_cpu_serv/verilog/riscv-target/serv/makehex.py -> build/lib/pythondata_cpu_serv/verilog/riscv-target/serv 2024-01-19 02:41:17,189 root INFO running egg_info 2024-01-19 02:41:17,189 root INFO creating pythondata_cpu_serv.egg-info 2024-01-19 02:41:17,191 root INFO writing pythondata_cpu_serv.egg-info/PKG-INFO 2024-01-19 02:41:17,192 root INFO writing dependency_links to pythondata_cpu_serv.egg-info/dependency_links.txt 2024-01-19 02:41:17,192 root INFO writing top-level names to pythondata_cpu_serv.egg-info/top_level.txt 2024-01-19 02:41:17,192 root INFO writing manifest file 'pythondata_cpu_serv.egg-info/SOURCES.txt' 2024-01-19 02:41:17,197 root INFO reading manifest file 'pythondata_cpu_serv.egg-info/SOURCES.txt' 2024-01-19 02:41:17,198 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 02:41:17,200 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 02:41:17,202 root INFO writing manifest file 'pythondata_cpu_serv.egg-info/SOURCES.txt' 2024-01-19 02:41:17,206 root INFO copying pythondata_cpu_serv/verilog/.gitmodules -> build/lib/pythondata_cpu_serv/verilog 2024-01-19 02:41:17,206 root INFO copying pythondata_cpu_serv/verilog/LICENSE -> build/lib/pythondata_cpu_serv/verilog 2024-01-19 02:41:17,207 root INFO copying pythondata_cpu_serv/verilog/README.md -> build/lib/pythondata_cpu_serv/verilog 2024-01-19 02:41:17,207 root INFO copying pythondata_cpu_serv/verilog/serv.core -> build/lib/pythondata_cpu_serv/verilog 2024-01-19 02:41:17,208 root INFO copying pythondata_cpu_serv/verilog/servant.core -> build/lib/pythondata_cpu_serv/verilog 2024-01-19 02:41:17,208 root INFO copying pythondata_cpu_serv/verilog/serving.core -> build/lib/pythondata_cpu_serv/verilog 2024-01-19 02:41:17,208 root INFO copying pythondata_cpu_serv/verilog/sw/Makefile -> build/lib/pythondata_cpu_serv/verilog/sw 2024-01-19 02:41:17,209 root INFO copying pythondata_cpu_serv/verilog/sw/blinky.S -> build/lib/pythondata_cpu_serv/verilog/sw 2024-01-19 02:41:17,209 root INFO copying pythondata_cpu_serv/verilog/sw/blinky.hex -> build/lib/pythondata_cpu_serv/verilog/sw 2024-01-19 02:41:17,209 root INFO copying pythondata_cpu_serv/verilog/sw/link.ld -> build/lib/pythondata_cpu_serv/verilog/sw 2024-01-19 02:41:17,210 root INFO copying pythondata_cpu_serv/verilog/sw/zephyr_hello.hex -> build/lib/pythondata_cpu_serv/verilog/sw 2024-01-19 02:41:17,210 root INFO copying pythondata_cpu_serv/verilog/sw/zephyr_hello_mt.hex -> build/lib/pythondata_cpu_serv/verilog/sw 2024-01-19 02:41:17,210 root INFO copying pythondata_cpu_serv/verilog/sw/zephyr_phil.hex -> build/lib/pythondata_cpu_serv/verilog/sw 2024-01-19 02:41:17,211 root INFO copying pythondata_cpu_serv/verilog/sw/zephyr_sync.hex -> build/lib/pythondata_cpu_serv/verilog/sw 2024-01-19 02:41:17,211 root INFO creating build/lib/pythondata_cpu_serv/verilog/data 2024-01-19 02:41:17,211 root INFO copying pythondata_cpu_serv/verilog/data/alhambra.pcf -> build/lib/pythondata_cpu_serv/verilog/data 2024-01-19 02:41:17,212 root INFO copying pythondata_cpu_serv/verilog/data/arty_a7_35t.xdc -> build/lib/pythondata_cpu_serv/verilog/data 2024-01-19 02:41:17,212 root INFO copying pythondata_cpu_serv/verilog/data/cyc1000.sdc -> build/lib/pythondata_cpu_serv/verilog/data 2024-01-19 02:41:17,212 root INFO copying pythondata_cpu_serv/verilog/data/cyc1000.tcl -> build/lib/pythondata_cpu_serv/verilog/data 2024-01-19 02:41:17,213 root INFO copying pythondata_cpu_serv/verilog/data/icebreaker.pcf -> build/lib/pythondata_cpu_serv/verilog/data 2024-01-19 02:41:17,213 root INFO copying pythondata_cpu_serv/verilog/data/nexys_a7.xdc -> build/lib/pythondata_cpu_serv/verilog/data 2024-01-19 02:41:17,213 root INFO copying pythondata_cpu_serv/verilog/data/tinyfpga_bx.pcf -> build/lib/pythondata_cpu_serv/verilog/data 2024-01-19 02:41:17,214 root INFO copying pythondata_cpu_serv/verilog/data/ulx3s.lpf -> build/lib/pythondata_cpu_serv/verilog/data 2024-01-19 02:41:17,214 root INFO copying pythondata_cpu_serv/verilog/data/upduino2.pcf -> build/lib/pythondata_cpu_serv/verilog/data 2024-01-19 02:41:17,214 root INFO copying pythondata_cpu_serv/verilog/data/zcu106.xdc -> build/lib/pythondata_cpu_serv/verilog/data 2024-01-19 02:41:17,215 root INFO creating build/lib/pythondata_cpu_serv/verilog/bench 2024-01-19 02:41:17,215 root INFO copying pythondata_cpu_serv/verilog/bench/servant_sim.v -> build/lib/pythondata_cpu_serv/verilog/bench 2024-01-19 02:41:17,215 root INFO copying pythondata_cpu_serv/verilog/bench/servant_tb.cpp -> build/lib/pythondata_cpu_serv/verilog/bench 2024-01-19 02:41:17,216 root INFO copying pythondata_cpu_serv/verilog/bench/servant_tb.v -> build/lib/pythondata_cpu_serv/verilog/bench 2024-01-19 02:41:17,216 root INFO copying pythondata_cpu_serv/verilog/bench/uart_decoder.v -> build/lib/pythondata_cpu_serv/verilog/bench 2024-01-19 02:41:17,216 root INFO creating build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,216 root INFO copying pythondata_cpu_serv/verilog/rtl/ser_shift.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,217 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_alu.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,217 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_bufreg.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,217 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_csr.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,218 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_ctrl.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,218 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_decode.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,218 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_mem_if.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,219 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_params.vh -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,219 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_rf_if.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,219 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_rf_ram.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,220 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_rf_ram_if.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,220 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_rf_top.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,220 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_state.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,221 root INFO copying pythondata_cpu_serv/verilog/rtl/serv_top.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,221 root INFO copying pythondata_cpu_serv/verilog/rtl/shift_reg.v -> build/lib/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,221 root INFO creating build/lib/pythondata_cpu_serv/verilog/serving 2024-01-19 02:41:17,221 root INFO copying pythondata_cpu_serv/verilog/serving/serving.v -> build/lib/pythondata_cpu_serv/verilog/serving 2024-01-19 02:41:17,222 root INFO copying pythondata_cpu_serv/verilog/serving/serving_arbiter.v -> build/lib/pythondata_cpu_serv/verilog/serving 2024-01-19 02:41:17,222 root INFO copying pythondata_cpu_serv/verilog/serving/serving_mux.v -> build/lib/pythondata_cpu_serv/verilog/serving 2024-01-19 02:41:17,222 root INFO copying pythondata_cpu_serv/verilog/serving/serving_ram.v -> build/lib/pythondata_cpu_serv/verilog/serving 2024-01-19 02:41:17,223 root INFO creating build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,223 root INFO copying pythondata_cpu_serv/verilog/servant/ecppll.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,223 root INFO copying pythondata_cpu_serv/verilog/servant/ice40_pll.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,224 root INFO copying pythondata_cpu_serv/verilog/servant/servant.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,224 root INFO copying pythondata_cpu_serv/verilog/servant/servant_arbiter.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,224 root INFO copying pythondata_cpu_serv/verilog/servant/servant_clock_gen.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,225 root INFO copying pythondata_cpu_serv/verilog/servant/servant_ecp5.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,225 root INFO copying pythondata_cpu_serv/verilog/servant/servant_ecp5_clock_gen.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,225 root INFO copying pythondata_cpu_serv/verilog/servant/servant_gpio.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,225 root INFO copying pythondata_cpu_serv/verilog/servant/servant_mux.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,226 root INFO copying pythondata_cpu_serv/verilog/servant/servant_ram.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,226 root INFO copying pythondata_cpu_serv/verilog/servant/servant_ram_quartus.sv -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,226 root INFO copying pythondata_cpu_serv/verilog/servant/servant_timer.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,227 root INFO copying pythondata_cpu_serv/verilog/servant/servant_upduino2.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,227 root INFO copying pythondata_cpu_serv/verilog/servant/servclone10.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,227 root INFO copying pythondata_cpu_serv/verilog/servant/servclone10_clock_gen.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,227 root INFO copying pythondata_cpu_serv/verilog/servant/service.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,228 root INFO copying pythondata_cpu_serv/verilog/servant/servix.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,228 root INFO copying pythondata_cpu_serv/verilog/servant/servix_clock_gen.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,228 root INFO copying pythondata_cpu_serv/verilog/servant/servus.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,229 root INFO copying pythondata_cpu_serv/verilog/servant/servus_clock_gen.v -> build/lib/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,229 root INFO copying pythondata_cpu_serv/verilog/riscv-target/serv/compliance_io.h -> build/lib/pythondata_cpu_serv/verilog/riscv-target/serv 2024-01-19 02:41:17,229 root INFO copying pythondata_cpu_serv/verilog/riscv-target/serv/compliance_test.h -> build/lib/pythondata_cpu_serv/verilog/riscv-target/serv 2024-01-19 02:41:17,229 root INFO copying pythondata_cpu_serv/verilog/riscv-target/serv/link.ld -> build/lib/pythondata_cpu_serv/verilog/riscv-target/serv 2024-01-19 02:41:17,230 root INFO creating build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/device 2024-01-19 02:41:17,230 root INFO creating build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/device/rv32i 2024-01-19 02:41:17,230 root INFO copying pythondata_cpu_serv/verilog/riscv-target/serv/device/rv32i/Makefile.include -> build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/device/rv32i 2024-01-19 02:41:17,237 wheel INFO installing to build/bdist.linux-x86_64/wheel 2024-01-19 02:41:17,237 root INFO running install 2024-01-19 02:41:17,250 root INFO running install_lib 2024-01-19 02:41:17,252 root INFO creating build/bdist.linux-x86_64 2024-01-19 02:41:17,252 root INFO creating build/bdist.linux-x86_64/wheel 2024-01-19 02:41:17,253 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_serv 2024-01-19 02:41:17,253 root INFO copying build/lib/pythondata_cpu_serv/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv 2024-01-19 02:41:17,253 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog 2024-01-19 02:41:17,253 root INFO copying build/lib/pythondata_cpu_serv/verilog/.gitmodules -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog 2024-01-19 02:41:17,254 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/riscv-target 2024-01-19 02:41:17,254 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv 2024-01-19 02:41:17,254 root INFO copying build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/compliance_io.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv 2024-01-19 02:41:17,254 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv/device 2024-01-19 02:41:17,255 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv/device/rv32i 2024-01-19 02:41:17,255 root INFO copying build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/device/rv32i/Makefile.include -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv/device/rv32i 2024-01-19 02:41:17,255 root INFO copying build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/makehex.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv 2024-01-19 02:41:17,255 root INFO copying build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/compliance_test.h -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv 2024-01-19 02:41:17,256 root INFO copying build/lib/pythondata_cpu_serv/verilog/riscv-target/serv/link.ld -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/riscv-target/serv 2024-01-19 02:41:17,256 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/sw 2024-01-19 02:41:17,256 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/blinky.hex -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/sw 2024-01-19 02:41:17,256 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/zephyr_phil.hex -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/sw 2024-01-19 02:41:17,257 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/zephyr_hello.hex -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/sw 2024-01-19 02:41:17,257 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/zephyr_sync.hex -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/sw 2024-01-19 02:41:17,257 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/makehex.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/sw 2024-01-19 02:41:17,258 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/link.ld -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/sw 2024-01-19 02:41:17,258 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/blinky.S -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/sw 2024-01-19 02:41:17,258 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/zephyr_hello_mt.hex -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/sw 2024-01-19 02:41:17,258 root INFO copying build/lib/pythondata_cpu_serv/verilog/sw/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/sw 2024-01-19 02:41:17,259 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/data 2024-01-19 02:41:17,259 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/alhambra.pcf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/data 2024-01-19 02:41:17,259 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/nexys_a7.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/data 2024-01-19 02:41:17,259 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/zcu106.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/data 2024-01-19 02:41:17,260 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/icebreaker.pcf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/data 2024-01-19 02:41:17,260 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/ulx3s.lpf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/data 2024-01-19 02:41:17,260 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/upduino2.pcf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/data 2024-01-19 02:41:17,260 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/tinyfpga_bx.pcf -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/data 2024-01-19 02:41:17,261 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/arty_a7_35t.xdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/data 2024-01-19 02:41:17,261 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/cyc1000.tcl -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/data 2024-01-19 02:41:17,261 root INFO copying build/lib/pythondata_cpu_serv/verilog/data/cyc1000.sdc -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/data 2024-01-19 02:41:17,261 root INFO copying build/lib/pythondata_cpu_serv/verilog/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog 2024-01-19 02:41:17,262 root INFO copying build/lib/pythondata_cpu_serv/verilog/LICENSE -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog 2024-01-19 02:41:17,262 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/bench 2024-01-19 02:41:17,262 root INFO copying build/lib/pythondata_cpu_serv/verilog/bench/servant_sim.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/bench 2024-01-19 02:41:17,262 root INFO copying build/lib/pythondata_cpu_serv/verilog/bench/servant_tb.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/bench 2024-01-19 02:41:17,263 root INFO copying build/lib/pythondata_cpu_serv/verilog/bench/uart_decoder.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/bench 2024-01-19 02:41:17,263 root INFO copying build/lib/pythondata_cpu_serv/verilog/bench/servant_tb.cpp -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/bench 2024-01-19 02:41:17,263 root INFO copying build/lib/pythondata_cpu_serv/verilog/serv.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog 2024-01-19 02:41:17,263 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,264 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_csr.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,264 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/shift_reg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,264 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_rf_if.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,264 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/ser_shift.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,265 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_alu.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,265 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_params.vh -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,265 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_decode.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,266 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_bufreg.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,266 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_top.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,266 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_rf_ram.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,266 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_ctrl.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,267 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_rf_ram_if.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,267 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_state.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,267 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_mem_if.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,267 root INFO copying build/lib/pythondata_cpu_serv/verilog/rtl/serv_rf_top.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/rtl 2024-01-19 02:41:17,268 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog 2024-01-19 02:41:17,268 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/serving 2024-01-19 02:41:17,268 root INFO copying build/lib/pythondata_cpu_serv/verilog/serving/serving_arbiter.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/serving 2024-01-19 02:41:17,268 root INFO copying build/lib/pythondata_cpu_serv/verilog/serving/serving_ram.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/serving 2024-01-19 02:41:17,269 root INFO copying build/lib/pythondata_cpu_serv/verilog/serving/serving_mux.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/serving 2024-01-19 02:41:17,269 root INFO copying build/lib/pythondata_cpu_serv/verilog/serving/serving.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/serving 2024-01-19 02:41:17,269 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,269 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_ecp5.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,270 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_timer.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,270 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/ice40_pll.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,270 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,270 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_ecp5_clock_gen.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,271 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/ecppll.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,271 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_clock_gen.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,271 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servclone10_clock_gen.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,271 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servix_clock_gen.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,272 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_arbiter.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,272 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servus_clock_gen.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,272 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servus.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,272 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/service.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,273 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_gpio.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,273 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_mux.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,273 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_upduino2.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,273 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_ram_quartus.sv -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,274 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servix.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,274 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servclone10.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,274 root INFO copying build/lib/pythondata_cpu_serv/verilog/servant/servant_ram.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog/servant 2024-01-19 02:41:17,274 root INFO copying build/lib/pythondata_cpu_serv/verilog/serving.core -> build/bdist.linux-x86_64/wheel/pythondata_cpu_serv/verilog 2024-01-19 02:41:17,275 root INFO running install_egg_info 2024-01-19 02:41:17,279 root INFO Copying pythondata_cpu_serv.egg-info to build/bdist.linux-x86_64/wheel/pythondata_cpu_serv-1.0.post66-py3.11.egg-info 2024-01-19 02:41:17,281 root INFO running install_scripts 2024-01-19 02:41:17,283 wheel INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_serv-1.0.post66.dist-info/WHEEL 2024-01-19 02:41:17,283 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/pythondata-cpu-serv-2020.04/dist/.tmp-1zt3c5j_/pythondata_cpu_serv-1.0.post66-py3-none-any.whl' and adding 'build/bdist.linux-x86_64/wheel' to it 2024-01-19 02:41:17,284 wheel INFO adding 'pythondata_cpu_serv/__init__.py' 2024-01-19 02:41:17,284 wheel INFO adding 'pythondata_cpu_serv/verilog/.gitmodules' 2024-01-19 02:41:17,285 wheel INFO adding 'pythondata_cpu_serv/verilog/LICENSE' 2024-01-19 02:41:17,285 wheel INFO adding 'pythondata_cpu_serv/verilog/README.md' 2024-01-19 02:41:17,285 wheel INFO adding 'pythondata_cpu_serv/verilog/serv.core' 2024-01-19 02:41:17,286 wheel INFO adding 'pythondata_cpu_serv/verilog/servant.core' 2024-01-19 02:41:17,286 wheel INFO adding 'pythondata_cpu_serv/verilog/serving.core' 2024-01-19 02:41:17,286 wheel INFO adding 'pythondata_cpu_serv/verilog/bench/servant_sim.v' 2024-01-19 02:41:17,287 wheel INFO adding 'pythondata_cpu_serv/verilog/bench/servant_tb.cpp' 2024-01-19 02:41:17,287 wheel INFO adding 'pythondata_cpu_serv/verilog/bench/servant_tb.v' 2024-01-19 02:41:17,287 wheel INFO adding 'pythondata_cpu_serv/verilog/bench/uart_decoder.v' 2024-01-19 02:41:17,288 wheel INFO adding 'pythondata_cpu_serv/verilog/data/alhambra.pcf' 2024-01-19 02:41:17,288 wheel INFO adding 'pythondata_cpu_serv/verilog/data/arty_a7_35t.xdc' 2024-01-19 02:41:17,288 wheel INFO adding 'pythondata_cpu_serv/verilog/data/cyc1000.sdc' 2024-01-19 02:41:17,289 wheel INFO adding 'pythondata_cpu_serv/verilog/data/cyc1000.tcl' 2024-01-19 02:41:17,289 wheel INFO adding 'pythondata_cpu_serv/verilog/data/icebreaker.pcf' 2024-01-19 02:41:17,289 wheel INFO adding 'pythondata_cpu_serv/verilog/data/nexys_a7.xdc' 2024-01-19 02:41:17,289 wheel INFO adding 'pythondata_cpu_serv/verilog/data/tinyfpga_bx.pcf' 2024-01-19 02:41:17,290 wheel INFO adding 'pythondata_cpu_serv/verilog/data/ulx3s.lpf' 2024-01-19 02:41:17,290 wheel INFO adding 'pythondata_cpu_serv/verilog/data/upduino2.pcf' 2024-01-19 02:41:17,290 wheel INFO adding 'pythondata_cpu_serv/verilog/data/zcu106.xdc' 2024-01-19 02:41:17,291 wheel INFO adding 'pythondata_cpu_serv/verilog/riscv-target/serv/compliance_io.h' 2024-01-19 02:41:17,291 wheel INFO adding 'pythondata_cpu_serv/verilog/riscv-target/serv/compliance_test.h' 2024-01-19 02:41:17,291 wheel INFO adding 'pythondata_cpu_serv/verilog/riscv-target/serv/link.ld' 2024-01-19 02:41:17,291 wheel INFO adding 'pythondata_cpu_serv/verilog/riscv-target/serv/makehex.py' 2024-01-19 02:41:17,292 wheel INFO adding 'pythondata_cpu_serv/verilog/riscv-target/serv/device/rv32i/Makefile.include' 2024-01-19 02:41:17,292 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/ser_shift.v' 2024-01-19 02:41:17,292 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_alu.v' 2024-01-19 02:41:17,293 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_bufreg.v' 2024-01-19 02:41:17,293 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_csr.v' 2024-01-19 02:41:17,293 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_ctrl.v' 2024-01-19 02:41:17,294 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_decode.v' 2024-01-19 02:41:17,294 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_mem_if.v' 2024-01-19 02:41:17,294 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_params.vh' 2024-01-19 02:41:17,295 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_rf_if.v' 2024-01-19 02:41:17,295 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_rf_ram.v' 2024-01-19 02:41:17,295 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_rf_ram_if.v' 2024-01-19 02:41:17,295 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_rf_top.v' 2024-01-19 02:41:17,296 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_state.v' 2024-01-19 02:41:17,296 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/serv_top.v' 2024-01-19 02:41:17,296 wheel INFO adding 'pythondata_cpu_serv/verilog/rtl/shift_reg.v' 2024-01-19 02:41:17,297 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/ecppll.v' 2024-01-19 02:41:17,297 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/ice40_pll.v' 2024-01-19 02:41:17,297 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant.v' 2024-01-19 02:41:17,298 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_arbiter.v' 2024-01-19 02:41:17,298 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_clock_gen.v' 2024-01-19 02:41:17,298 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_ecp5.v' 2024-01-19 02:41:17,299 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_ecp5_clock_gen.v' 2024-01-19 02:41:17,299 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_gpio.v' 2024-01-19 02:41:17,299 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_mux.v' 2024-01-19 02:41:17,299 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_ram.v' 2024-01-19 02:41:17,300 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_ram_quartus.sv' 2024-01-19 02:41:17,300 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_timer.v' 2024-01-19 02:41:17,300 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servant_upduino2.v' 2024-01-19 02:41:17,301 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servclone10.v' 2024-01-19 02:41:17,301 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servclone10_clock_gen.v' 2024-01-19 02:41:17,301 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/service.v' 2024-01-19 02:41:17,301 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servix.v' 2024-01-19 02:41:17,302 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servix_clock_gen.v' 2024-01-19 02:41:17,302 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servus.v' 2024-01-19 02:41:17,302 wheel INFO adding 'pythondata_cpu_serv/verilog/servant/servus_clock_gen.v' 2024-01-19 02:41:17,303 wheel INFO adding 'pythondata_cpu_serv/verilog/serving/serving.v' 2024-01-19 02:41:17,303 wheel INFO adding 'pythondata_cpu_serv/verilog/serving/serving_arbiter.v' 2024-01-19 02:41:17,303 wheel INFO adding 'pythondata_cpu_serv/verilog/serving/serving_mux.v' 2024-01-19 02:41:17,303 wheel INFO adding 'pythondata_cpu_serv/verilog/serving/serving_ram.v' 2024-01-19 02:41:17,304 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/Makefile' 2024-01-19 02:41:17,304 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/blinky.S' 2024-01-19 02:41:17,304 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/blinky.hex' 2024-01-19 02:41:17,305 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/link.ld' 2024-01-19 02:41:17,305 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/makehex.py' 2024-01-19 02:41:17,305 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/zephyr_hello.hex' 2024-01-19 02:41:17,306 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/zephyr_hello_mt.hex' 2024-01-19 02:41:17,306 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/zephyr_phil.hex' 2024-01-19 02:41:17,307 wheel INFO adding 'pythondata_cpu_serv/verilog/sw/zephyr_sync.hex' 2024-01-19 02:41:17,307 wheel INFO adding 'pythondata_cpu_serv-1.0.post66.dist-info/METADATA' 2024-01-19 02:41:17,308 wheel INFO adding 'pythondata_cpu_serv-1.0.post66.dist-info/WHEEL' 2024-01-19 02:41:17,308 wheel INFO adding 'pythondata_cpu_serv-1.0.post66.dist-info/top_level.txt' 2024-01-19 02:41:17,309 wheel INFO adding 'pythondata_cpu_serv-1.0.post66.dist-info/RECORD' 2024-01-19 02:41:17,309 wheel INFO removing build/bdist.linux-x86_64/wheel 2024-01-19 02:41:17,312 gpep517 INFO The backend produced dist/pythondata_cpu_serv-1.0.post66-py3-none-any.whl pythondata_cpu_serv-1.0.post66-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-vexriscv 2024-01-19 02:41:17,577 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 02:41:17,618 root INFO running bdist_wheel 2024-01-19 02:41:17,640 root INFO running build 2024-01-19 02:41:17,640 root INFO running build_py 2024-01-19 02:41:17,643 root INFO creating build 2024-01-19 02:41:17,643 root INFO creating build/lib 2024-01-19 02:41:17,643 root INFO creating build/lib/pythondata_cpu_vexriscv 2024-01-19 02:41:17,643 root INFO copying pythondata_cpu_vexriscv/__init__.py -> build/lib/pythondata_cpu_vexriscv 2024-01-19 02:41:17,645 root INFO running egg_info 2024-01-19 02:41:17,645 root INFO creating pythondata_cpu_vexriscv.egg-info 2024-01-19 02:41:17,647 root INFO writing pythondata_cpu_vexriscv.egg-info/PKG-INFO 2024-01-19 02:41:17,647 root INFO writing dependency_links to pythondata_cpu_vexriscv.egg-info/dependency_links.txt 2024-01-19 02:41:17,648 root INFO writing top-level names to pythondata_cpu_vexriscv.egg-info/top_level.txt 2024-01-19 02:41:17,648 root INFO writing manifest file 'pythondata_cpu_vexriscv.egg-info/SOURCES.txt' 2024-01-19 02:41:17,652 root INFO reading manifest file 'pythondata_cpu_vexriscv.egg-info/SOURCES.txt' 2024-01-19 02:41:17,653 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 02:41:17,654 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 02:41:17,655 root INFO writing manifest file 'pythondata_cpu_vexriscv.egg-info/SOURCES.txt' 2024-01-19 02:41:17,658 root INFO creating build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,658 root INFO copying pythondata_cpu_vexriscv/verilog/.gitignore -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,658 root INFO copying pythondata_cpu_vexriscv/verilog/.gitmodules -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,659 root INFO copying pythondata_cpu_vexriscv/verilog/Makefile -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,659 root INFO copying pythondata_cpu_vexriscv/verilog/README.md -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,659 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,661 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,661 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Debug.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,662 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Debug.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,662 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Full.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,663 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Full.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,664 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_FullDebug.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,665 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_FullDebug.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,665 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Linux.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,667 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Linux.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,667 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxDebug.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,668 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxDebug.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,668 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxNoDspFmax.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,669 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Lite.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,670 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Lite.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,670 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_LiteDebug.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,671 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_LiteDebug.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,671 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Min.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,672 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_Min.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,672 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_MinDebug.v -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,673 root INFO copying pythondata_cpu_vexriscv/verilog/VexRiscv_MinDebug.yaml -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,673 root INFO copying pythondata_cpu_vexriscv/verilog/build.sbt -> build/lib/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,673 root INFO creating build/lib/pythondata_cpu_vexriscv/verilog/project 2024-01-19 02:41:17,673 root INFO copying pythondata_cpu_vexriscv/verilog/project/build.properties -> build/lib/pythondata_cpu_vexriscv/verilog/project 2024-01-19 02:41:17,674 root INFO copying pythondata_cpu_vexriscv/verilog/project/plugins.sbt -> build/lib/pythondata_cpu_vexriscv/verilog/project 2024-01-19 02:41:17,674 root INFO creating build/lib/pythondata_cpu_vexriscv/verilog/src 2024-01-19 02:41:17,674 root INFO creating build/lib/pythondata_cpu_vexriscv/verilog/src/main 2024-01-19 02:41:17,674 root INFO creating build/lib/pythondata_cpu_vexriscv/verilog/src/main/scala 2024-01-19 02:41:17,674 root INFO creating build/lib/pythondata_cpu_vexriscv/verilog/src/main/scala/vexriscv 2024-01-19 02:41:17,675 root INFO copying pythondata_cpu_vexriscv/verilog/src/main/scala/vexriscv/GenCoreDefault.scala -> build/lib/pythondata_cpu_vexriscv/verilog/src/main/scala/vexriscv 2024-01-19 02:41:17,682 wheel INFO installing to build/bdist.linux-x86_64/wheel 2024-01-19 02:41:17,682 root INFO running install 2024-01-19 02:41:17,694 root INFO running install_lib 2024-01-19 02:41:17,696 root INFO creating build/bdist.linux-x86_64 2024-01-19 02:41:17,696 root INFO creating build/bdist.linux-x86_64/wheel 2024-01-19 02:41:17,696 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv 2024-01-19 02:41:17,697 root INFO copying build/lib/pythondata_cpu_vexriscv/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv 2024-01-19 02:41:17,697 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,697 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_FullDebug.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,698 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxDebug.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,698 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Linux.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,699 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,699 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/.gitmodules -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,700 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/build.sbt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,700 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Linux.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,701 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,701 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Lite.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,702 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_MinDebug.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,702 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog/src 2024-01-19 02:41:17,702 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog/src/main 2024-01-19 02:41:17,702 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog/src/main/scala 2024-01-19 02:41:17,703 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog/src/main/scala/vexriscv 2024-01-19 02:41:17,703 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/src/main/scala/vexriscv/GenCoreDefault.scala -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog/src/main/scala/vexriscv 2024-01-19 02:41:17,703 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Lite.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,703 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Debug.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,704 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog/project 2024-01-19 02:41:17,704 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/project/build.properties -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog/project 2024-01-19 02:41:17,705 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/project/plugins.sbt -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog/project 2024-01-19 02:41:17,705 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_MinDebug.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,706 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,706 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxDebug.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,706 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Full.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,707 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Full.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,707 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_LiteDebug.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,708 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,708 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Min.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,708 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_FullDebug.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,709 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Min.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,710 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_LiteDebug.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,710 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxNoDspFmax.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,711 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,711 root INFO copying build/lib/pythondata_cpu_vexriscv/verilog/VexRiscv_Debug.yaml -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv/verilog 2024-01-19 02:41:17,711 root INFO running install_egg_info 2024-01-19 02:41:17,716 root INFO Copying pythondata_cpu_vexriscv.egg-info to build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv-1.0.1.post314-py3.11.egg-info 2024-01-19 02:41:17,718 root INFO running install_scripts 2024-01-19 02:41:17,720 wheel INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv-1.0.1.post314.dist-info/WHEEL 2024-01-19 02:41:17,721 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/pythondata-cpu-vexriscv-2020.04/dist/.tmp-3kmoc51e/pythondata_cpu_vexriscv-1.0.1.post314-py3-none-any.whl' and adding 'build/bdist.linux-x86_64/wheel' to it 2024-01-19 02:41:17,721 wheel INFO adding 'pythondata_cpu_vexriscv/__init__.py' 2024-01-19 02:41:17,721 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/.gitignore' 2024-01-19 02:41:17,722 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/.gitmodules' 2024-01-19 02:41:17,722 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/Makefile' 2024-01-19 02:41:17,723 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/README.md' 2024-01-19 02:41:17,724 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv.v' 2024-01-19 02:41:17,725 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv.yaml' 2024-01-19 02:41:17,726 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Debug.v' 2024-01-19 02:41:17,727 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Debug.yaml' 2024-01-19 02:41:17,728 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Full.v' 2024-01-19 02:41:17,729 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Full.yaml' 2024-01-19 02:41:17,730 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_FullDebug.v' 2024-01-19 02:41:17,731 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_FullDebug.yaml' 2024-01-19 02:41:17,732 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Linux.v' 2024-01-19 02:41:17,733 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Linux.yaml' 2024-01-19 02:41:17,734 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxDebug.v' 2024-01-19 02:41:17,736 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxDebug.yaml' 2024-01-19 02:41:17,737 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_LinuxNoDspFmax.v' 2024-01-19 02:41:17,739 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Lite.v' 2024-01-19 02:41:17,740 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Lite.yaml' 2024-01-19 02:41:17,740 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_LiteDebug.v' 2024-01-19 02:41:17,741 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_LiteDebug.yaml' 2024-01-19 02:41:17,742 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Min.v' 2024-01-19 02:41:17,743 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_Min.yaml' 2024-01-19 02:41:17,743 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_MinDebug.v' 2024-01-19 02:41:17,744 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/VexRiscv_MinDebug.yaml' 2024-01-19 02:41:17,744 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/build.sbt' 2024-01-19 02:41:17,745 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/project/build.properties' 2024-01-19 02:41:17,745 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/project/plugins.sbt' 2024-01-19 02:41:17,745 wheel INFO adding 'pythondata_cpu_vexriscv/verilog/src/main/scala/vexriscv/GenCoreDefault.scala' 2024-01-19 02:41:17,746 wheel INFO adding 'pythondata_cpu_vexriscv-1.0.1.post314.dist-info/METADATA' 2024-01-19 02:41:17,746 wheel INFO adding 'pythondata_cpu_vexriscv-1.0.1.post314.dist-info/WHEEL' 2024-01-19 02:41:17,746 wheel INFO adding 'pythondata_cpu_vexriscv-1.0.1.post314.dist-info/top_level.txt' 2024-01-19 02:41:17,746 wheel INFO adding 'pythondata_cpu_vexriscv-1.0.1.post314.dist-info/RECORD' 2024-01-19 02:41:17,746 wheel INFO removing build/bdist.linux-x86_64/wheel 2024-01-19 02:41:17,748 gpep517 INFO The backend produced dist/pythondata_cpu_vexriscv-1.0.1.post314-py3-none-any.whl pythondata_cpu_vexriscv-1.0.1.post314-py3-none-any.whl --> Building LiteX Hub module pythondata-cpu-vexriscv_smp 2024-01-19 02:41:18,024 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 02:41:18,064 root INFO running bdist_wheel 2024-01-19 02:41:18,085 root INFO running build 2024-01-19 02:41:18,085 root INFO running build_py 2024-01-19 02:41:18,088 root INFO creating build 2024-01-19 02:41:18,089 root INFO creating build/lib 2024-01-19 02:41:18,089 root INFO creating build/lib/pythondata_cpu_vexriscv_smp 2024-01-19 02:41:18,089 root INFO copying pythondata_cpu_vexriscv_smp/__init__.py -> build/lib/pythondata_cpu_vexriscv_smp 2024-01-19 02:41:18,090 root INFO running egg_info 2024-01-19 02:41:18,090 root INFO creating pythondata_cpu_vexriscv_smp.egg-info 2024-01-19 02:41:18,092 root INFO writing pythondata_cpu_vexriscv_smp.egg-info/PKG-INFO 2024-01-19 02:41:18,092 root INFO writing dependency_links to pythondata_cpu_vexriscv_smp.egg-info/dependency_links.txt 2024-01-19 02:41:18,093 root INFO writing top-level names to pythondata_cpu_vexriscv_smp.egg-info/top_level.txt 2024-01-19 02:41:18,093 root INFO writing manifest file 'pythondata_cpu_vexriscv_smp.egg-info/SOURCES.txt' 2024-01-19 02:41:18,097 root INFO reading manifest file 'pythondata_cpu_vexriscv_smp.egg-info/SOURCES.txt' 2024-01-19 02:41:18,097 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 02:41:18,099 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 02:41:18,100 root INFO writing manifest file 'pythondata_cpu_vexriscv_smp.egg-info/SOURCES.txt' 2024-01-19 02:41:18,134 root INFO creating build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,135 root INFO copying pythondata_cpu_vexriscv_smp/verilog/README.md -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,135 root INFO copying pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Efinix.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,135 root INFO copying pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Generic.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,136 root INFO copying pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Intel.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,136 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Cdma_Ood_Wm.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,140 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,143 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw128_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,146 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw16_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,149 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw16_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,152 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw32_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,156 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw32_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,158 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw64_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,161 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw64_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,165 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ood_Wm.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,167 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Cdma_Ood_Wm.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,169 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw16_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,174 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw16_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,176 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw32_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,179 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw32_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,182 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ood_Wm.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,185 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,188 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,191 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw64_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,194 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw64_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,198 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc2_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,202 root INFO copying pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc4_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/lib/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,217 wheel INFO installing to build/bdist.linux-x86_64/wheel 2024-01-19 02:41:18,217 root INFO running install 2024-01-19 02:41:18,231 root INFO running install_lib 2024-01-19 02:41:18,234 root INFO creating build/bdist.linux-x86_64 2024-01-19 02:41:18,235 root INFO creating build/bdist.linux-x86_64/wheel 2024-01-19 02:41:18,235 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp 2024-01-19 02:41:18,235 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp 2024-01-19 02:41:18,236 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,236 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw16_Cdma_Ood.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,241 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Cdma_Ood_Wm.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,244 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw64_Ood.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,247 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/README.md -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,248 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Efinix.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,248 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Intel.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,248 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,252 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Ood.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,255 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc2_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,260 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ood_Wm.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,264 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw16_Ood.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,268 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc4_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,275 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ood_Wm.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,279 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw64_Ood.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,906 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw32_Ood.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,908 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,912 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw64_Cdma_Ood.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,915 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw32_Cdma_Ood.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,918 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw16_Ood.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,920 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw32_Ood.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,924 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Cdma_Ood_Wm.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,926 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Generic.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,927 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw16_Cdma_Ood.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,930 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw128_Ood.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,932 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw32_Cdma_Ood.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,935 root INFO copying build/lib/pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw64_Cdma_Ood.v -> build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp/verilog 2024-01-19 02:41:18,940 root INFO running install_egg_info 2024-01-19 02:41:18,945 root INFO Copying pythondata_cpu_vexriscv_smp.egg-info to build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp-1.0.1.post325-py3.11.egg-info 2024-01-19 02:41:18,946 root INFO running install_scripts 2024-01-19 02:41:18,948 wheel INFO creating build/bdist.linux-x86_64/wheel/pythondata_cpu_vexriscv_smp-1.0.1.post325.dist-info/WHEEL 2024-01-19 02:41:18,949 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/pythondata-cpu-vexriscv_smp-2023.12/dist/.tmp-ikojjbol/pythondata_cpu_vexriscv_smp-1.0.1.post325-py3-none-any.whl' and adding 'build/bdist.linux-x86_64/wheel' to it 2024-01-19 02:41:18,950 wheel INFO adding 'pythondata_cpu_vexriscv_smp/__init__.py' 2024-01-19 02:41:18,950 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/README.md' 2024-01-19 02:41:18,950 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Efinix.v' 2024-01-19 02:41:18,951 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Generic.v' 2024-01-19 02:41:18,951 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/Ram_1w_1rs_Intel.v' 2024-01-19 02:41:18,955 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Cdma_Ood_Wm.v' 2024-01-19 02:41:18,965 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw128_Cdma_Ood.v' 2024-01-19 02:41:18,976 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw128_Ood.v' 2024-01-19 02:41:18,986 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw16_Cdma_Ood.v' 2024-01-19 02:41:18,996 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw16_Ood.v' 2024-01-19 02:41:19,006 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw32_Cdma_Ood.v' 2024-01-19 02:41:19,016 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw32_Ood.v' 2024-01-19 02:41:19,026 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw64_Cdma_Ood.v' 2024-01-19 02:41:19,036 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ldw64_Ood.v' 2024-01-19 02:41:19,045 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is4096Iy1_Dw32Ds4096Dy1_ITs4DTs4_Ood_Wm.v' 2024-01-19 02:41:19,053 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Cdma_Ood_Wm.v' 2024-01-19 02:41:19,063 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw16_Cdma_Ood.v' 2024-01-19 02:41:19,072 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw16_Ood.v' 2024-01-19 02:41:19,079 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw32_Cdma_Ood.v' 2024-01-19 02:41:19,087 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ldw32_Ood.v' 2024-01-19 02:41:19,093 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw32Is8192Iy2_Dw32Ds8192Dy2_ITs4DTs4_Ood_Wm.v' 2024-01-19 02:41:19,100 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v' 2024-01-19 02:41:19,108 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Ood.v' 2024-01-19 02:41:19,116 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw64_Cdma_Ood.v' 2024-01-19 02:41:19,124 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc1_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw64_Ood.v' 2024-01-19 02:41:19,133 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc2_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v' 2024-01-19 02:41:19,149 wheel INFO adding 'pythondata_cpu_vexriscv_smp/verilog/VexRiscvLitexSmpCluster_Cc4_Iw64Is8192Iy2_Dw64Ds8192Dy2_ITs4DTs4_Ldw128_Cdma_Ood.v' 2024-01-19 02:41:19,163 wheel INFO adding 'pythondata_cpu_vexriscv_smp-1.0.1.post325.dist-info/METADATA' 2024-01-19 02:41:19,163 wheel INFO adding 'pythondata_cpu_vexriscv_smp-1.0.1.post325.dist-info/WHEEL' 2024-01-19 02:41:19,163 wheel INFO adding 'pythondata_cpu_vexriscv_smp-1.0.1.post325.dist-info/top_level.txt' 2024-01-19 02:41:19,164 wheel INFO adding 'pythondata_cpu_vexriscv_smp-1.0.1.post325.dist-info/RECORD' 2024-01-19 02:41:19,164 wheel INFO removing build/bdist.linux-x86_64/wheel 2024-01-19 02:41:19,170 gpep517 INFO The backend produced dist/pythondata_cpu_vexriscv_smp-1.0.1.post325-py3-none-any.whl pythondata_cpu_vexriscv_smp-1.0.1.post325-py3-none-any.whl --> Building LiteX Hub module pythondata-misc-tapcfg 2024-01-19 02:41:19,375 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 02:41:19,405 root INFO running bdist_wheel 2024-01-19 02:41:19,421 root INFO running build 2024-01-19 02:41:19,421 root INFO running build_py 2024-01-19 02:41:19,423 root INFO creating build 2024-01-19 02:41:19,423 root INFO creating build/lib 2024-01-19 02:41:19,423 root INFO creating build/lib/pythondata_misc_tapcfg 2024-01-19 02:41:19,424 root INFO copying pythondata_misc_tapcfg/__init__.py -> build/lib/pythondata_misc_tapcfg 2024-01-19 02:41:19,424 root INFO running egg_info 2024-01-19 02:41:19,425 root INFO creating pythondata_misc_tapcfg.egg-info 2024-01-19 02:41:19,426 root INFO writing pythondata_misc_tapcfg.egg-info/PKG-INFO 2024-01-19 02:41:19,426 root INFO writing dependency_links to pythondata_misc_tapcfg.egg-info/dependency_links.txt 2024-01-19 02:41:19,427 root INFO writing top-level names to pythondata_misc_tapcfg.egg-info/top_level.txt 2024-01-19 02:41:19,427 root INFO writing manifest file 'pythondata_misc_tapcfg.egg-info/SOURCES.txt' 2024-01-19 02:41:19,430 root INFO reading manifest file 'pythondata_misc_tapcfg.egg-info/SOURCES.txt' 2024-01-19 02:41:19,430 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 02:41:19,431 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 02:41:19,432 root INFO writing manifest file 'pythondata_misc_tapcfg.egg-info/SOURCES.txt' 2024-01-19 02:41:19,434 root INFO creating build/lib/pythondata_misc_tapcfg/data 2024-01-19 02:41:19,434 root INFO copying pythondata_misc_tapcfg/data/.arcconfig -> build/lib/pythondata_misc_tapcfg/data 2024-01-19 02:41:19,434 root INFO copying pythondata_misc_tapcfg/data/COPYING -> build/lib/pythondata_misc_tapcfg/data 2024-01-19 02:41:19,435 root INFO copying pythondata_misc_tapcfg/data/ChangeLog -> build/lib/pythondata_misc_tapcfg/data 2024-01-19 02:41:19,435 root INFO copying pythondata_misc_tapcfg/data/README -> build/lib/pythondata_misc_tapcfg/data 2024-01-19 02:41:19,435 root INFO copying pythondata_misc_tapcfg/data/SConstruct -> build/lib/pythondata_misc_tapcfg/data 2024-01-19 02:41:19,436 root INFO copying pythondata_misc_tapcfg/data/buildall.sh -> build/lib/pythondata_misc_tapcfg/data 2024-01-19 02:41:19,436 root INFO creating build/lib/pythondata_misc_tapcfg/data/src 2024-01-19 02:41:19,436 root INFO copying pythondata_misc_tapcfg/data/src/SConscript -> build/lib/pythondata_misc_tapcfg/data/src 2024-01-19 02:41:19,436 root INFO creating build/lib/pythondata_misc_tapcfg/data/src/include 2024-01-19 02:41:19,436 root INFO copying pythondata_misc_tapcfg/data/src/include/tapcfg.h -> build/lib/pythondata_misc_tapcfg/data/src/include 2024-01-19 02:41:19,437 root INFO creating build/lib/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 02:41:19,437 root INFO copying pythondata_misc_tapcfg/data/src/bindings/AssemblyInfo.cs -> build/lib/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 02:41:19,437 root INFO copying pythondata_misc_tapcfg/data/src/bindings/EthernetFrame.cs -> build/lib/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 02:41:19,437 root INFO copying pythondata_misc_tapcfg/data/src/bindings/NativeLib.cs -> build/lib/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 02:41:19,438 root INFO copying pythondata_misc_tapcfg/data/src/bindings/TAPNet.dll.config -> build/lib/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 02:41:19,438 root INFO copying pythondata_misc_tapcfg/data/src/bindings/UTF8Marshaler.cs -> build/lib/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 02:41:19,438 root INFO copying pythondata_misc_tapcfg/data/src/bindings/VirtualDevice.cs -> build/lib/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 02:41:19,438 root INFO creating build/lib/pythondata_misc_tapcfg/data/src/demos 2024-01-19 02:41:19,438 root INFO copying pythondata_misc_tapcfg/data/src/demos/TAPNetTest.cs -> build/lib/pythondata_misc_tapcfg/data/src/demos 2024-01-19 02:41:19,439 root INFO creating build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,439 root INFO copying pythondata_misc_tapcfg/data/src/daemon/client.c -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,439 root INFO copying pythondata_misc_tapcfg/data/src/daemon/client.h -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,439 root INFO copying pythondata_misc_tapcfg/data/src/daemon/daemon.c -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,439 root INFO copying pythondata_misc_tapcfg/data/src/daemon/daemon.h -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,440 root INFO copying pythondata_misc_tapcfg/data/src/daemon/main.c -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,440 root INFO copying pythondata_misc_tapcfg/data/src/daemon/serversock.c -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,440 root INFO copying pythondata_misc_tapcfg/data/src/daemon/serversock.h -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,440 root INFO copying pythondata_misc_tapcfg/data/src/daemon/tapdemo.c -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,441 root INFO copying pythondata_misc_tapcfg/data/src/daemon/tapserver.c -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,441 root INFO copying pythondata_misc_tapcfg/data/src/daemon/tapserver.h -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,441 root INFO copying pythondata_misc_tapcfg/data/src/daemon/threads.h -> build/lib/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,441 root INFO creating build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,442 root INFO copying pythondata_misc_tapcfg/data/src/lib/dlpi.c -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,442 root INFO copying pythondata_misc_tapcfg/data/src/lib/dlpi.h -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,442 root INFO copying pythondata_misc_tapcfg/data/src/lib/tapcfg.c -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,442 root INFO copying pythondata_misc_tapcfg/data/src/lib/tapcfg_unix.c -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,443 root INFO copying pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_bsd.h -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,443 root INFO copying pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_linux.h -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,443 root INFO copying pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_solaris.h -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,443 root INFO copying pythondata_misc_tapcfg/data/src/lib/tapcfg_windows.c -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,444 root INFO copying pythondata_misc_tapcfg/data/src/lib/tapcfg_windows_fixup.h -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,444 root INFO copying pythondata_misc_tapcfg/data/src/lib/taplog.c -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,444 root INFO copying pythondata_misc_tapcfg/data/src/lib/taplog.h -> build/lib/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,449 wheel INFO installing to build/bdist.linux-x86_64/wheel 2024-01-19 02:41:19,449 root INFO running install 2024-01-19 02:41:19,458 root INFO running install_lib 2024-01-19 02:41:19,460 root INFO creating build/bdist.linux-x86_64 2024-01-19 02:41:19,460 root INFO creating build/bdist.linux-x86_64/wheel 2024-01-19 02:41:19,460 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg 2024-01-19 02:41:19,460 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data 2024-01-19 02:41:19,461 root INFO copying build/lib/pythondata_misc_tapcfg/data/SConstruct -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data 2024-01-19 02:41:19,461 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src 2024-01-19 02:41:19,461 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/include 2024-01-19 02:41:19,461 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/include/tapcfg.h -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/include 2024-01-19 02:41:19,461 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 02:41:19,462 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/bindings/UTF8Marshaler.cs -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 02:41:19,462 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/bindings/EthernetFrame.cs -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 02:41:19,462 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/bindings/AssemblyInfo.cs -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 02:41:19,462 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/bindings/NativeLib.cs -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 02:41:19,462 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/bindings/VirtualDevice.cs -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 02:41:19,463 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/bindings/TAPNet.dll.config -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/bindings 2024-01-19 02:41:19,463 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/SConscript -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src 2024-01-19 02:41:19,463 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/demos 2024-01-19 02:41:19,463 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/demos/TAPNetTest.cs -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/demos 2024-01-19 02:41:19,464 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,464 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/client.c -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,464 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/daemon.h -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,464 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/tapserver.h -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,464 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/tapserver.c -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,465 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/threads.h -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,465 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/tapdemo.c -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,465 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/serversock.h -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,465 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/serversock.c -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,465 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/daemon.c -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,465 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/main.c -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,466 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/daemon/client.h -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/daemon 2024-01-19 02:41:19,466 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,466 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/tapcfg_windows.c -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,466 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/dlpi.h -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,466 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_bsd.h -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,467 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/tapcfg_windows_fixup.h -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,467 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/tapcfg.c -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,467 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_solaris.h -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,467 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/taplog.h -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,468 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/tapcfg_unix.c -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,468 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_linux.h -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,468 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/taplog.c -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,468 root INFO copying build/lib/pythondata_misc_tapcfg/data/src/lib/dlpi.c -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data/src/lib 2024-01-19 02:41:19,468 root INFO copying build/lib/pythondata_misc_tapcfg/data/COPYING -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data 2024-01-19 02:41:19,469 root INFO copying build/lib/pythondata_misc_tapcfg/data/.arcconfig -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data 2024-01-19 02:41:19,469 root INFO copying build/lib/pythondata_misc_tapcfg/data/README -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data 2024-01-19 02:41:19,469 root INFO copying build/lib/pythondata_misc_tapcfg/data/buildall.sh -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data 2024-01-19 02:41:19,469 root INFO copying build/lib/pythondata_misc_tapcfg/data/ChangeLog -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg/data 2024-01-19 02:41:19,469 root INFO copying build/lib/pythondata_misc_tapcfg/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg 2024-01-19 02:41:19,469 root INFO running install_egg_info 2024-01-19 02:41:19,473 root INFO Copying pythondata_misc_tapcfg.egg-info to build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg-0.0.post424-py3.11.egg-info 2024-01-19 02:41:19,474 root INFO running install_scripts 2024-01-19 02:41:19,476 wheel INFO creating build/bdist.linux-x86_64/wheel/pythondata_misc_tapcfg-0.0.post424.dist-info/WHEEL 2024-01-19 02:41:19,477 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/pythondata-misc-tapcfg-2020.04/dist/.tmp-_4ewlkh3/pythondata_misc_tapcfg-0.0.post424-py3-none-any.whl' and adding 'build/bdist.linux-x86_64/wheel' to it 2024-01-19 02:41:19,477 wheel INFO adding 'pythondata_misc_tapcfg/__init__.py' 2024-01-19 02:41:19,477 wheel INFO adding 'pythondata_misc_tapcfg/data/.arcconfig' 2024-01-19 02:41:19,478 wheel INFO adding 'pythondata_misc_tapcfg/data/COPYING' 2024-01-19 02:41:19,478 wheel INFO adding 'pythondata_misc_tapcfg/data/ChangeLog' 2024-01-19 02:41:19,478 wheel INFO adding 'pythondata_misc_tapcfg/data/README' 2024-01-19 02:41:19,478 wheel INFO adding 'pythondata_misc_tapcfg/data/SConstruct' 2024-01-19 02:41:19,479 wheel INFO adding 'pythondata_misc_tapcfg/data/buildall.sh' 2024-01-19 02:41:19,479 wheel INFO adding 'pythondata_misc_tapcfg/data/src/SConscript' 2024-01-19 02:41:19,479 wheel INFO adding 'pythondata_misc_tapcfg/data/src/bindings/AssemblyInfo.cs' 2024-01-19 02:41:19,479 wheel INFO adding 'pythondata_misc_tapcfg/data/src/bindings/EthernetFrame.cs' 2024-01-19 02:41:19,480 wheel INFO adding 'pythondata_misc_tapcfg/data/src/bindings/NativeLib.cs' 2024-01-19 02:41:19,480 wheel INFO adding 'pythondata_misc_tapcfg/data/src/bindings/TAPNet.dll.config' 2024-01-19 02:41:19,480 wheel INFO adding 'pythondata_misc_tapcfg/data/src/bindings/UTF8Marshaler.cs' 2024-01-19 02:41:19,481 wheel INFO adding 'pythondata_misc_tapcfg/data/src/bindings/VirtualDevice.cs' 2024-01-19 02:41:19,481 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/client.c' 2024-01-19 02:41:19,481 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/client.h' 2024-01-19 02:41:19,481 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/daemon.c' 2024-01-19 02:41:19,482 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/daemon.h' 2024-01-19 02:41:19,482 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/main.c' 2024-01-19 02:41:19,482 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/serversock.c' 2024-01-19 02:41:19,482 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/serversock.h' 2024-01-19 02:41:19,483 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/tapdemo.c' 2024-01-19 02:41:19,483 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/tapserver.c' 2024-01-19 02:41:19,483 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/tapserver.h' 2024-01-19 02:41:19,483 wheel INFO adding 'pythondata_misc_tapcfg/data/src/daemon/threads.h' 2024-01-19 02:41:19,484 wheel INFO adding 'pythondata_misc_tapcfg/data/src/demos/TAPNetTest.cs' 2024-01-19 02:41:19,484 wheel INFO adding 'pythondata_misc_tapcfg/data/src/include/tapcfg.h' 2024-01-19 02:41:19,484 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/dlpi.c' 2024-01-19 02:41:19,484 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/dlpi.h' 2024-01-19 02:41:19,485 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/tapcfg.c' 2024-01-19 02:41:19,485 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/tapcfg_unix.c' 2024-01-19 02:41:19,485 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_bsd.h' 2024-01-19 02:41:19,485 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_linux.h' 2024-01-19 02:41:19,486 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/tapcfg_unix_solaris.h' 2024-01-19 02:41:19,486 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/tapcfg_windows.c' 2024-01-19 02:41:19,486 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/tapcfg_windows_fixup.h' 2024-01-19 02:41:19,487 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/taplog.c' 2024-01-19 02:41:19,487 wheel INFO adding 'pythondata_misc_tapcfg/data/src/lib/taplog.h' 2024-01-19 02:41:19,487 wheel INFO adding 'pythondata_misc_tapcfg-0.0.post424.dist-info/METADATA' 2024-01-19 02:41:19,487 wheel INFO adding 'pythondata_misc_tapcfg-0.0.post424.dist-info/WHEEL' 2024-01-19 02:41:19,487 wheel INFO adding 'pythondata_misc_tapcfg-0.0.post424.dist-info/top_level.txt' 2024-01-19 02:41:19,488 wheel INFO adding 'pythondata_misc_tapcfg-0.0.post424.dist-info/RECORD' 2024-01-19 02:41:19,489 wheel INFO removing build/bdist.linux-x86_64/wheel 2024-01-19 02:41:19,491 gpep517 INFO The backend produced dist/pythondata_misc_tapcfg-0.0.post424-py3-none-any.whl pythondata_misc_tapcfg-0.0.post424-py3-none-any.whl --> Building LiteX Hub module pythondata-software-compiler_rt 2024-01-19 02:41:19,695 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 02:41:19,733 root INFO running bdist_wheel 2024-01-19 02:41:19,749 root INFO running build 2024-01-19 02:41:19,749 root INFO running build_py 2024-01-19 02:41:19,751 root INFO creating build 2024-01-19 02:41:19,751 root INFO creating build/lib 2024-01-19 02:41:19,751 root INFO creating build/lib/pythondata_software_compiler_rt 2024-01-19 02:41:19,752 root INFO copying pythondata_software_compiler_rt/__init__.py -> build/lib/pythondata_software_compiler_rt 2024-01-19 02:41:19,757 root INFO creating build/lib/pythondata_software_compiler_rt/data 2024-01-19 02:41:19,757 root INFO creating build/lib/pythondata_software_compiler_rt/data/test 2024-01-19 02:41:19,757 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan 2024-01-19 02:41:19,758 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-01-19 02:41:19,758 root INFO copying pythondata_software_compiler_rt/data/test/asan/android_commands/android_common.py -> build/lib/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-01-19 02:41:19,758 root INFO copying pythondata_software_compiler_rt/data/test/asan/android_commands/android_run.py -> build/lib/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-01-19 02:41:19,758 root INFO copying pythondata_software_compiler_rt/data/test/asan/android_commands/android_compile.py -> build/lib/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-01-19 02:41:19,762 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib 2024-01-19 02:41:19,762 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:19,762 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 02:41:19,762 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/cpplint.py -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 02:41:19,763 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/litlint_test.py -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 02:41:19,763 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/litlint.py -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 02:41:19,763 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/sancov.py -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 02:41:19,764 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/gen_dynamic_list.py -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 02:41:19,764 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 02:41:19,764 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/dfsan/scripts 2024-01-19 02:41:19,764 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/scripts/build-libc-list.py -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan/scripts 2024-01-19 02:41:19,766 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:19,766 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-01-19 02:41:19,766 root INFO copying pythondata_software_compiler_rt/data/lib/asan/scripts/asan_symbolize.py -> build/lib/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-01-19 02:41:19,767 root INFO running egg_info 2024-01-19 02:41:19,767 root INFO creating pythondata_software_compiler_rt.egg-info 2024-01-19 02:41:19,769 root INFO writing pythondata_software_compiler_rt.egg-info/PKG-INFO 2024-01-19 02:41:19,769 root INFO writing dependency_links to pythondata_software_compiler_rt.egg-info/dependency_links.txt 2024-01-19 02:41:19,770 root INFO writing top-level names to pythondata_software_compiler_rt.egg-info/top_level.txt 2024-01-19 02:41:19,770 root INFO writing manifest file 'pythondata_software_compiler_rt.egg-info/SOURCES.txt' 2024-01-19 02:41:19,789 root INFO reading manifest file 'pythondata_software_compiler_rt.egg-info/SOURCES.txt' 2024-01-19 02:41:19,790 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 02:41:19,817 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 02:41:19,839 root INFO writing manifest file 'pythondata_software_compiler_rt.egg-info/SOURCES.txt' 2024-01-19 02:41:19,894 root INFO copying pythondata_software_compiler_rt/data/.arcconfig -> build/lib/pythondata_software_compiler_rt/data 2024-01-19 02:41:19,895 root INFO copying pythondata_software_compiler_rt/data/.gitignore -> build/lib/pythondata_software_compiler_rt/data 2024-01-19 02:41:19,895 root INFO copying pythondata_software_compiler_rt/data/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data 2024-01-19 02:41:19,895 root INFO copying pythondata_software_compiler_rt/data/CODE_OWNERS.TXT -> build/lib/pythondata_software_compiler_rt/data 2024-01-19 02:41:19,895 root INFO copying pythondata_software_compiler_rt/data/CREDITS.TXT -> build/lib/pythondata_software_compiler_rt/data 2024-01-19 02:41:19,896 root INFO copying pythondata_software_compiler_rt/data/LICENSE.TXT -> build/lib/pythondata_software_compiler_rt/data 2024-01-19 02:41:19,896 root INFO copying pythondata_software_compiler_rt/data/Makefile -> build/lib/pythondata_software_compiler_rt/data 2024-01-19 02:41:19,896 root INFO copying pythondata_software_compiler_rt/data/README.txt -> build/lib/pythondata_software_compiler_rt/data 2024-01-19 02:41:19,896 root INFO copying pythondata_software_compiler_rt/data/test/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test 2024-01-19 02:41:19,897 root INFO copying pythondata_software_compiler_rt/data/test/lit.common.cfg -> build/lib/pythondata_software_compiler_rt/data/test 2024-01-19 02:41:19,897 root INFO copying pythondata_software_compiler_rt/data/test/lit.common.configured.in -> build/lib/pythondata_software_compiler_rt/data/test 2024-01-19 02:41:19,897 root INFO creating build/lib/pythondata_software_compiler_rt/data/unittests 2024-01-19 02:41:19,897 root INFO copying pythondata_software_compiler_rt/data/unittests/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/unittests 2024-01-19 02:41:19,897 root INFO copying pythondata_software_compiler_rt/data/unittests/lit.common.unit.cfg -> build/lib/pythondata_software_compiler_rt/data/unittests 2024-01-19 02:41:19,898 root INFO copying pythondata_software_compiler_rt/data/unittests/lit.common.unit.configured.in -> build/lib/pythondata_software_compiler_rt/data/unittests 2024-01-19 02:41:19,898 root INFO creating build/lib/pythondata_software_compiler_rt/data/include 2024-01-19 02:41:19,898 root INFO copying pythondata_software_compiler_rt/data/include/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/include 2024-01-19 02:41:19,898 root INFO creating build/lib/pythondata_software_compiler_rt/data/www 2024-01-19 02:41:19,898 root INFO copying pythondata_software_compiler_rt/data/www/content.css -> build/lib/pythondata_software_compiler_rt/data/www 2024-01-19 02:41:19,899 root INFO copying pythondata_software_compiler_rt/data/www/index.html -> build/lib/pythondata_software_compiler_rt/data/www 2024-01-19 02:41:19,899 root INFO copying pythondata_software_compiler_rt/data/www/menu.css -> build/lib/pythondata_software_compiler_rt/data/www 2024-01-19 02:41:19,899 root INFO copying pythondata_software_compiler_rt/data/www/menu.html.incl -> build/lib/pythondata_software_compiler_rt/data/www 2024-01-19 02:41:19,899 root INFO creating build/lib/pythondata_software_compiler_rt/data/make 2024-01-19 02:41:19,900 root INFO copying pythondata_software_compiler_rt/data/make/AppleBI.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-01-19 02:41:19,900 root INFO copying pythondata_software_compiler_rt/data/make/config.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-01-19 02:41:19,900 root INFO copying pythondata_software_compiler_rt/data/make/filter-inputs -> build/lib/pythondata_software_compiler_rt/data/make 2024-01-19 02:41:19,900 root INFO copying pythondata_software_compiler_rt/data/make/lib_info.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-01-19 02:41:19,901 root INFO copying pythondata_software_compiler_rt/data/make/lib_platforms.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-01-19 02:41:19,901 root INFO copying pythondata_software_compiler_rt/data/make/lib_util.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-01-19 02:41:19,901 root INFO copying pythondata_software_compiler_rt/data/make/options.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-01-19 02:41:19,901 root INFO copying pythondata_software_compiler_rt/data/make/subdir.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-01-19 02:41:19,902 root INFO copying pythondata_software_compiler_rt/data/make/util.mk -> build/lib/pythondata_software_compiler_rt/data/make 2024-01-19 02:41:19,902 root INFO creating build/lib/pythondata_software_compiler_rt/data/cmake 2024-01-19 02:41:19,902 root INFO copying pythondata_software_compiler_rt/data/cmake/config-ix.cmake -> build/lib/pythondata_software_compiler_rt/data/cmake 2024-01-19 02:41:19,902 root INFO copying pythondata_software_compiler_rt/data/lib/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib 2024-01-19 02:41:19,902 root INFO copying pythondata_software_compiler_rt/data/lib/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib 2024-01-19 02:41:19,903 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-01-19 02:41:19,903 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-01-19 02:41:19,903 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/lit.common.cfg -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-01-19 02:41:19,903 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-01-19 02:41:19,903 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:19,904 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:19,904 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/basic.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:19,904 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/custom.cc -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:19,904 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/dump_labels.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:19,905 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/flags.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:19,905 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/fncall.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:19,905 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/label_count.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:19,905 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/lit.cfg -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:19,905 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:19,906 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/propagate.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:19,906 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/vararg.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:19,906 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/write_callback.c -> build/lib/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:19,906 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/lsan 2024-01-19 02:41:19,906 root INFO copying pythondata_software_compiler_rt/data/test/lsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/lsan 2024-01-19 02:41:19,907 root INFO copying pythondata_software_compiler_rt/data/test/lsan/lit.common.cfg -> build/lib/pythondata_software_compiler_rt/data/test/lsan 2024-01-19 02:41:19,907 root INFO copying pythondata_software_compiler_rt/data/test/lsan/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/lsan 2024-01-19 02:41:19,907 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:19,907 root INFO copying pythondata_software_compiler_rt/data/test/profile/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:19,907 root INFO copying pythondata_software_compiler_rt/data/test/profile/gcc-flag-compatibility.test -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:19,908 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-basic.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:19,908 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-dlopen.test -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:19,908 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-dynamic-one-shared.test -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:19,908 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-dynamic-two-shared.test -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:19,908 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename-then-reset-default.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:19,909 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename-with-env.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:19,909 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:19,909 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-reset-counters.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:19,909 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-set-filename-then-reset-default.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:19,909 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-set-filename.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:19,910 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-without-libc.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:19,910 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-write-file-atexit-explicitly.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:19,910 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-write-file-only.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:19,910 root INFO copying pythondata_software_compiler_rt/data/test/profile/instrprof-write-file.c -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:19,910 root INFO copying pythondata_software_compiler_rt/data/test/profile/lit.cfg -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:19,911 root INFO copying pythondata_software_compiler_rt/data/test/profile/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:19,911 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,911 root INFO copying pythondata_software_compiler_rt/data/test/msan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,911 root INFO copying pythondata_software_compiler_rt/data/test/msan/allocator_mapping.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,911 root INFO copying pythondata_software_compiler_rt/data/test/msan/allocator_returns_null.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,912 root INFO copying pythondata_software_compiler_rt/data/test/msan/backtrace.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,912 root INFO copying pythondata_software_compiler_rt/data/test/msan/c-strdup.c -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,912 root INFO copying pythondata_software_compiler_rt/data/test/msan/chained_origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,912 root INFO copying pythondata_software_compiler_rt/data/test/msan/chained_origin_empty_stack.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,912 root INFO copying pythondata_software_compiler_rt/data/test/msan/chained_origin_limits.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,913 root INFO copying pythondata_software_compiler_rt/data/test/msan/chained_origin_memcpy.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,913 root INFO copying pythondata_software_compiler_rt/data/test/msan/chained_origin_with_signals.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,913 root INFO copying pythondata_software_compiler_rt/data/test/msan/check_mem_is_initialized.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,913 root INFO copying pythondata_software_compiler_rt/data/test/msan/coverage-levels.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,914 root INFO copying pythondata_software_compiler_rt/data/test/msan/cxa_atexit.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,914 root INFO copying pythondata_software_compiler_rt/data/test/msan/death-callback.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,914 root INFO copying pythondata_software_compiler_rt/data/test/msan/default_blacklist.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,914 root INFO copying pythondata_software_compiler_rt/data/test/msan/dlerror.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,914 root INFO copying pythondata_software_compiler_rt/data/test/msan/dso-origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,915 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtls_test.c -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,915 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-base-access.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,915 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-bit-fields.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,915 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-derived-class.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,915 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-member.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,916 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-multiple-inheritance-nontrivial-class-members.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,916 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-multiple-inheritance.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,916 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-trivial-class-members.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,916 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-trivial.cpp -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,916 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-vtable-multiple-inheritance.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,917 root INFO copying pythondata_software_compiler_rt/data/test/msan/dtor-vtable.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,917 root INFO copying pythondata_software_compiler_rt/data/test/msan/errno.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,917 root INFO copying pythondata_software_compiler_rt/data/test/msan/fork.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,917 root INFO copying pythondata_software_compiler_rt/data/test/msan/ftime.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,918 root INFO copying pythondata_software_compiler_rt/data/test/msan/getaddrinfo-positive.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,918 root INFO copying pythondata_software_compiler_rt/data/test/msan/getaddrinfo.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,918 root INFO copying pythondata_software_compiler_rt/data/test/msan/getc_unlocked.c -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,918 root INFO copying pythondata_software_compiler_rt/data/test/msan/getline.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,918 root INFO copying pythondata_software_compiler_rt/data/test/msan/heap-origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,919 root INFO copying pythondata_software_compiler_rt/data/test/msan/icmp_slt_allones.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,919 root INFO copying pythondata_software_compiler_rt/data/test/msan/iconv.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,919 root INFO copying pythondata_software_compiler_rt/data/test/msan/if_indextoname.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,919 root INFO copying pythondata_software_compiler_rt/data/test/msan/ifaddrs.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,919 root INFO copying pythondata_software_compiler_rt/data/test/msan/initgroups.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,920 root INFO copying pythondata_software_compiler_rt/data/test/msan/inline.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,920 root INFO copying pythondata_software_compiler_rt/data/test/msan/insertvalue_origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,920 root INFO copying pythondata_software_compiler_rt/data/test/msan/ioctl.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,920 root INFO copying pythondata_software_compiler_rt/data/test/msan/ioctl_custom.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,920 root INFO copying pythondata_software_compiler_rt/data/test/msan/keep-going-dso.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,921 root INFO copying pythondata_software_compiler_rt/data/test/msan/keep-going.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,921 root INFO copying pythondata_software_compiler_rt/data/test/msan/lit.cfg -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,921 root INFO copying pythondata_software_compiler_rt/data/test/msan/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,921 root INFO copying pythondata_software_compiler_rt/data/test/msan/memcmp_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,922 root INFO copying pythondata_software_compiler_rt/data/test/msan/mktime.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,922 root INFO copying pythondata_software_compiler_rt/data/test/msan/mmap.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,922 root INFO copying pythondata_software_compiler_rt/data/test/msan/mmap_below_shadow.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,922 root INFO copying pythondata_software_compiler_rt/data/test/msan/msan_check_mem_is_initialized.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,922 root INFO copying pythondata_software_compiler_rt/data/test/msan/msan_copy_shadow.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,923 root INFO copying pythondata_software_compiler_rt/data/test/msan/msan_dump_shadow.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,923 root INFO copying pythondata_software_compiler_rt/data/test/msan/msan_print_shadow.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,923 root INFO copying pythondata_software_compiler_rt/data/test/msan/msan_print_shadow2.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,923 root INFO copying pythondata_software_compiler_rt/data/test/msan/msan_print_shadow3.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,923 root INFO copying pythondata_software_compiler_rt/data/test/msan/mul_by_const.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,924 root INFO copying pythondata_software_compiler_rt/data/test/msan/no_sanitize_memory.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,924 root INFO copying pythondata_software_compiler_rt/data/test/msan/no_sanitize_memory_prop.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,924 root INFO copying pythondata_software_compiler_rt/data/test/msan/origin-store-long.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,924 root INFO copying pythondata_software_compiler_rt/data/test/msan/param_tls_limit.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,924 root INFO copying pythondata_software_compiler_rt/data/test/msan/poison_in_free.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,925 root INFO copying pythondata_software_compiler_rt/data/test/msan/print_stats.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,925 root INFO copying pythondata_software_compiler_rt/data/test/msan/pthread_getattr_np_deadlock.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,925 root INFO copying pythondata_software_compiler_rt/data/test/msan/pthread_setcancelstate.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,925 root INFO copying pythondata_software_compiler_rt/data/test/msan/rand_r.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,926 root INFO copying pythondata_software_compiler_rt/data/test/msan/readdir64.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,926 root INFO copying pythondata_software_compiler_rt/data/test/msan/realloc-large-origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,926 root INFO copying pythondata_software_compiler_rt/data/test/msan/realloc-origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,926 root INFO copying pythondata_software_compiler_rt/data/test/msan/report-demangling.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,926 root INFO copying pythondata_software_compiler_rt/data/test/msan/scandir.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,927 root INFO copying pythondata_software_compiler_rt/data/test/msan/scandir_null.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,927 root INFO copying pythondata_software_compiler_rt/data/test/msan/select.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,927 root INFO copying pythondata_software_compiler_rt/data/test/msan/select_float_origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,927 root INFO copying pythondata_software_compiler_rt/data/test/msan/select_origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,927 root INFO copying pythondata_software_compiler_rt/data/test/msan/sem_getvalue.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,928 root INFO copying pythondata_software_compiler_rt/data/test/msan/setlocale.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,928 root INFO copying pythondata_software_compiler_rt/data/test/msan/signal_stress_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,928 root INFO copying pythondata_software_compiler_rt/data/test/msan/sigwait.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,928 root INFO copying pythondata_software_compiler_rt/data/test/msan/sigwaitinfo.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,928 root INFO copying pythondata_software_compiler_rt/data/test/msan/stack-origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,929 root INFO copying pythondata_software_compiler_rt/data/test/msan/stack-origin2.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,929 root INFO copying pythondata_software_compiler_rt/data/test/msan/strerror_r-non-gnu.c -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,929 root INFO copying pythondata_software_compiler_rt/data/test/msan/strlen_of_shadow.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,929 root INFO copying pythondata_software_compiler_rt/data/test/msan/strxfrm.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,929 root INFO copying pythondata_software_compiler_rt/data/test/msan/sync_lock_set_and_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,930 root INFO copying pythondata_software_compiler_rt/data/test/msan/test.h -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,930 root INFO copying pythondata_software_compiler_rt/data/test/msan/textdomain.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,930 root INFO copying pythondata_software_compiler_rt/data/test/msan/times.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,930 root INFO copying pythondata_software_compiler_rt/data/test/msan/tls_reuse.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,931 root INFO copying pythondata_software_compiler_rt/data/test/msan/tsearch.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,931 root INFO copying pythondata_software_compiler_rt/data/test/msan/tzset.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,931 root INFO copying pythondata_software_compiler_rt/data/test/msan/unaligned_read_origin.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,931 root INFO copying pythondata_software_compiler_rt/data/test/msan/unpoison_string.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,931 root INFO copying pythondata_software_compiler_rt/data/test/msan/use-after-dtor.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,932 root INFO copying pythondata_software_compiler_rt/data/test/msan/use-after-free.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,932 root INFO copying pythondata_software_compiler_rt/data/test/msan/vector_cvt.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,932 root INFO copying pythondata_software_compiler_rt/data/test/msan/vector_select.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:19,932 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:19,932 root INFO copying pythondata_software_compiler_rt/data/test/safestack/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:19,933 root INFO copying pythondata_software_compiler_rt/data/test/safestack/buffer-copy-vla.c -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:19,933 root INFO copying pythondata_software_compiler_rt/data/test/safestack/buffer-copy.c -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:19,933 root INFO copying pythondata_software_compiler_rt/data/test/safestack/init.c -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:19,933 root INFO copying pythondata_software_compiler_rt/data/test/safestack/lit.cfg -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:19,933 root INFO copying pythondata_software_compiler_rt/data/test/safestack/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:19,934 root INFO copying pythondata_software_compiler_rt/data/test/safestack/lto.c -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:19,934 root INFO copying pythondata_software_compiler_rt/data/test/safestack/overflow.c -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:19,934 root INFO copying pythondata_software_compiler_rt/data/test/safestack/pthread-cleanup.c -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:19,934 root INFO copying pythondata_software_compiler_rt/data/test/safestack/pthread.c -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:19,934 root INFO copying pythondata_software_compiler_rt/data/test/safestack/utils.h -> build/lib/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:19,935 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan 2024-01-19 02:41:19,935 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/ubsan 2024-01-19 02:41:19,935 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/lit.common.cfg -> build/lib/pythondata_software_compiler_rt/data/test/ubsan 2024-01-19 02:41:19,935 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/ubsan 2024-01-19 02:41:19,935 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:19,936 root INFO copying pythondata_software_compiler_rt/data/test/cfi/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:19,936 root INFO copying pythondata_software_compiler_rt/data/test/cfi/README.txt -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:19,936 root INFO copying pythondata_software_compiler_rt/data/test/cfi/anon-namespace.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:19,936 root INFO copying pythondata_software_compiler_rt/data/test/cfi/bad-cast.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:19,936 root INFO copying pythondata_software_compiler_rt/data/test/cfi/base-derived-destructor.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:19,937 root INFO copying pythondata_software_compiler_rt/data/test/cfi/create-derivers.test -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:19,937 root INFO copying pythondata_software_compiler_rt/data/test/cfi/lit.cfg -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:19,937 root INFO copying pythondata_software_compiler_rt/data/test/cfi/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:19,937 root INFO copying pythondata_software_compiler_rt/data/test/cfi/multiple-inheritance.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:19,937 root INFO copying pythondata_software_compiler_rt/data/test/cfi/nvcall.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:19,938 root INFO copying pythondata_software_compiler_rt/data/test/cfi/overwrite.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:19,938 root INFO copying pythondata_software_compiler_rt/data/test/cfi/sibling.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:19,938 root INFO copying pythondata_software_compiler_rt/data/test/cfi/simple-fail.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:19,938 root INFO copying pythondata_software_compiler_rt/data/test/cfi/simple-pass.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:19,939 root INFO copying pythondata_software_compiler_rt/data/test/cfi/utils.h -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:19,939 root INFO copying pythondata_software_compiler_rt/data/test/cfi/vdtor.cpp -> build/lib/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:19,939 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,939 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/block-static.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,939 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/blockimport.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,939 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefaccess.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,940 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopy.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,940 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopycopy.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,940 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopyinner.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,940 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopyint.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,940 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopystack.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,941 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefsanity.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,941 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefstruct.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,941 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/c99.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,941 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/cast.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,942 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/constassign.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,942 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/copy-block-literal-rdar6439600.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,942 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/copyconstructor.C -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,942 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/copynull.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,942 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/dispatch_async.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,943 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/dispatch_call_Block_with_release.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,943 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/fail.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,943 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/flagsisa.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,943 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/globalexpression.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,943 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/goto.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,944 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/hasdescriptor.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,944 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/josh.C -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,944 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/k-and-r.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,944 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/large-struct.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,944 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/localisglobal.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,945 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/macro.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,945 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/makefile -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,945 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/modglobal.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,945 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/nestedimport.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,945 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/nullblockisa.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,946 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/objectRRGC.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,946 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/objectassign.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,946 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/orbars.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,946 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6396238.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,947 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6405500.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,947 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6414583.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,947 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/recursive-block.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,947 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/recursive-test.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,947 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/recursiveassign.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,948 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/reference.C -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,948 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/rettypepromotion.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,948 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/returnfunctionptr.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,948 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/shorthandexpression.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,948 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/sizeof.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,949 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/small-struct.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,949 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/structmember.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,949 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/testfilerunner.h -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,949 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/testfilerunner.m -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,950 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/varargs-bad-assign.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,950 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/varargs.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,950 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/variadic.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,950 root INFO copying pythondata_software_compiler_rt/data/test/BlocksRuntime/voidarg.c -> build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:19,950 root INFO copying pythondata_software_compiler_rt/data/test/asan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/asan 2024-01-19 02:41:19,951 root INFO copying pythondata_software_compiler_rt/data/test/asan/lit.cfg -> build/lib/pythondata_software_compiler_rt/data/test/asan 2024-01-19 02:41:19,951 root INFO copying pythondata_software_compiler_rt/data/test/asan/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/asan 2024-01-19 02:41:19,951 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,951 root INFO copying pythondata_software_compiler_rt/data/test/tsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,951 root INFO copying pythondata_software_compiler_rt/data/test/tsan/aligned_vs_unaligned_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,952 root INFO copying pythondata_software_compiler_rt/data/test/tsan/allocator_returns_null.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,952 root INFO copying pythondata_software_compiler_rt/data/test/tsan/annotate_happens_before.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,952 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atexit.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,952 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atexit2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,952 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atomic_free.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,953 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atomic_free2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,953 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atomic_free3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,953 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atomic_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,953 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atomic_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,953 root INFO copying pythondata_software_compiler_rt/data/test/tsan/atomic_stack.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,954 root INFO copying pythondata_software_compiler_rt/data/test/tsan/barrier.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,954 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench.h -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,954 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_acquire_only.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,954 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_acquire_release.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,954 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_local_mutex.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,955 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_mutex.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,955 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_release_only.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,955 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_rwmutex.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,955 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_shadow_flush.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,956 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_single_writer.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,956 root INFO copying pythondata_software_compiler_rt/data/test/tsan/bench_ten_mutexes.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,956 root INFO copying pythondata_software_compiler_rt/data/test/tsan/benign_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,956 root INFO copying pythondata_software_compiler_rt/data/test/tsan/blacklist.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,956 root INFO copying pythondata_software_compiler_rt/data/test/tsan/blacklist2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,957 root INFO copying pythondata_software_compiler_rt/data/test/tsan/cond.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,957 root INFO copying pythondata_software_compiler_rt/data/test/tsan/cond_cancel.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,957 root INFO copying pythondata_software_compiler_rt/data/test/tsan/cond_destruction.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,957 root INFO copying pythondata_software_compiler_rt/data/test/tsan/cond_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,957 root INFO copying pythondata_software_compiler_rt/data/test/tsan/cond_version.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,958 root INFO copying pythondata_software_compiler_rt/data/test/tsan/deadlock_detector_stress_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,958 root INFO copying pythondata_software_compiler_rt/data/test/tsan/deep_stack1.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,958 root INFO copying pythondata_software_compiler_rt/data/test/tsan/default_options.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,958 root INFO copying pythondata_software_compiler_rt/data/test/tsan/deflake.bash -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,959 root INFO copying pythondata_software_compiler_rt/data/test/tsan/dl_iterate_phdr.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,959 root INFO copying pythondata_software_compiler_rt/data/test/tsan/dlclose.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,959 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_close_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,959 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_close_norace2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,959 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_dup_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,960 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_dup_norace2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,960 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_dup_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,960 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_location.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,960 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_pipe_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,960 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_pipe_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,961 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_socket_connect_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,961 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_socket_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,961 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_socketpair_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,961 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fd_stdout_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,961 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fork_atexit.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,962 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fork_deadlock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,962 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fork_multithreaded.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,962 root INFO copying pythondata_software_compiler_rt/data/test/tsan/fork_multithreaded3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,962 root INFO copying pythondata_software_compiler_rt/data/test/tsan/free_race.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,962 root INFO copying pythondata_software_compiler_rt/data/test/tsan/free_race.c.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,963 root INFO copying pythondata_software_compiler_rt/data/test/tsan/free_race2.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,963 root INFO copying pythondata_software_compiler_rt/data/test/tsan/getline_nohang.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,963 root INFO copying pythondata_software_compiler_rt/data/test/tsan/global_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,963 root INFO copying pythondata_software_compiler_rt/data/test/tsan/global_race2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,964 root INFO copying pythondata_software_compiler_rt/data/test/tsan/global_race3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,964 root INFO copying pythondata_software_compiler_rt/data/test/tsan/halt_on_error.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,964 root INFO copying pythondata_software_compiler_rt/data/test/tsan/heap_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,964 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_free.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,964 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib0.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,965 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib0.cc.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,965 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib1.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,965 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib1.cc.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,965 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,965 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib2.cc.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,966 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,966 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib3.cc.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,966 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_lib_lib.h -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,966 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_malloc.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,966 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,967 root INFO copying pythondata_software_compiler_rt/data/test/tsan/ignore_sync.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,967 root INFO copying pythondata_software_compiler_rt/data/test/tsan/inlined_memcpy_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,967 root INFO copying pythondata_software_compiler_rt/data/test/tsan/inlined_memcpy_race2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,967 root INFO copying pythondata_software_compiler_rt/data/test/tsan/interface_atomic_test.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,968 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java.h -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,968 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_alloc.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,968 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_finalizer.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,968 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_heap_init.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,968 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_lock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,969 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_lock_move.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,969 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_lock_rec.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,969 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_lock_rec_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,969 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_move_overlap.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,969 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_move_overlap_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,970 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,970 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_race_move.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,970 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_race_pc.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,970 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_rwlock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,970 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_symbolization.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,971 root INFO copying pythondata_software_compiler_rt/data/test/tsan/java_volatile.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,971 root INFO copying pythondata_software_compiler_rt/data/test/tsan/large_malloc_meta.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,971 root INFO copying pythondata_software_compiler_rt/data/test/tsan/lit.cfg -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,971 root INFO copying pythondata_software_compiler_rt/data/test/tsan/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,972 root INFO copying pythondata_software_compiler_rt/data/test/tsan/load_shared_lib.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,972 root INFO copying pythondata_software_compiler_rt/data/test/tsan/longjmp.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,972 root INFO copying pythondata_software_compiler_rt/data/test/tsan/longjmp2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,972 root INFO copying pythondata_software_compiler_rt/data/test/tsan/longjmp3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,972 root INFO copying pythondata_software_compiler_rt/data/test/tsan/longjmp4.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,973 root INFO copying pythondata_software_compiler_rt/data/test/tsan/malloc_overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,973 root INFO copying pythondata_software_compiler_rt/data/test/tsan/malloc_stack.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,973 root INFO copying pythondata_software_compiler_rt/data/test/tsan/map32bit.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,974 root INFO copying pythondata_software_compiler_rt/data/test/tsan/memcmp_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,974 root INFO copying pythondata_software_compiler_rt/data/test/tsan/memcpy_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,974 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mmap_large.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,974 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mmap_stress.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,974 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mop1.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,975 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mop_with_offset.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,975 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mop_with_offset2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,975 root INFO copying pythondata_software_compiler_rt/data/test/tsan/must_deadlock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,975 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutex_bad_read_lock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,976 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutex_bad_read_unlock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,976 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutex_bad_unlock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,976 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutex_cycle2.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,976 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutex_destroy_locked.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,976 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutex_double_lock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,977 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset1.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,977 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,977 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,977 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset4.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,977 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset5.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,978 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset6.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,978 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset7.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,978 root INFO copying pythondata_software_compiler_rt/data/test/tsan/mutexset8.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,978 root INFO copying pythondata_software_compiler_rt/data/test/tsan/printf-1.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,978 root INFO copying pythondata_software_compiler_rt/data/test/tsan/pthread_atfork_deadlock.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,979 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_barrier.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,979 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_barrier2.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,979 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_heap.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,979 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_mutex.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,980 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_mutex2.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,980 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_puts.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,980 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_read.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,980 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_speculative_load.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,980 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_on_write.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,981 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_stress.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,981 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_top_suppression.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,981 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_top_suppression1.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,981 root INFO copying pythondata_software_compiler_rt/data/test/tsan/race_with_finished_thread.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,981 root INFO copying pythondata_software_compiler_rt/data/test/tsan/real_deadlock_detector_stress_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,982 root INFO copying pythondata_software_compiler_rt/data/test/tsan/restore_stack.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,982 root INFO copying pythondata_software_compiler_rt/data/test/tsan/setuid.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,982 root INFO copying pythondata_software_compiler_rt/data/test/tsan/setuid2.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,982 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_cond.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,983 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_errno.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,983 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_longjmp.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,983 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_malloc.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,983 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_recursive.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,983 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_reset.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,984 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_sync.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,984 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_thread.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,984 root INFO copying pythondata_software_compiler_rt/data/test/tsan/signal_write.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,984 root INFO copying pythondata_software_compiler_rt/data/test/tsan/sigsuspend.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,984 root INFO copying pythondata_software_compiler_rt/data/test/tsan/simple_race.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,985 root INFO copying pythondata_software_compiler_rt/data/test/tsan/simple_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,985 root INFO copying pythondata_software_compiler_rt/data/test/tsan/simple_stack.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,985 root INFO copying pythondata_software_compiler_rt/data/test/tsan/simple_stack2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,985 root INFO copying pythondata_software_compiler_rt/data/test/tsan/sleep_sync.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,986 root INFO copying pythondata_software_compiler_rt/data/test/tsan/sleep_sync2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,986 root INFO copying pythondata_software_compiler_rt/data/test/tsan/stack_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,986 root INFO copying pythondata_software_compiler_rt/data/test/tsan/stack_race2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,986 root INFO copying pythondata_software_compiler_rt/data/test/tsan/stack_sync_reuse.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,986 root INFO copying pythondata_software_compiler_rt/data/test/tsan/static_init1.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,987 root INFO copying pythondata_software_compiler_rt/data/test/tsan/static_init2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,987 root INFO copying pythondata_software_compiler_rt/data/test/tsan/static_init3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,987 root INFO copying pythondata_software_compiler_rt/data/test/tsan/static_init4.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,987 root INFO copying pythondata_software_compiler_rt/data/test/tsan/static_init5.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,988 root INFO copying pythondata_software_compiler_rt/data/test/tsan/static_init6.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,988 root INFO copying pythondata_software_compiler_rt/data/test/tsan/sunrpc.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,988 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppress_same_address.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,988 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppress_same_stacks.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,988 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppressions_global.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,989 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppressions_global.cc.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,989 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppressions_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,989 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppressions_race.cc.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,989 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppressions_race2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,989 root INFO copying pythondata_software_compiler_rt/data/test/tsan/suppressions_race2.cc.supp -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,990 root INFO copying pythondata_software_compiler_rt/data/test/tsan/test.h -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,990 root INFO copying pythondata_software_compiler_rt/data/test/tsan/test_output.sh -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,990 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_detach.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,990 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_detach2.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,991 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,991 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,991 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,991 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_leak.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,991 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_leak2.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,992 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_leak3.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,992 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_leak4.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,992 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_leak5.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,992 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_name.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,992 root INFO copying pythondata_software_compiler_rt/data/test/tsan/thread_name2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,993 root INFO copying pythondata_software_compiler_rt/data/test/tsan/tiny_race.c -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,993 root INFO copying pythondata_software_compiler_rt/data/test/tsan/tls_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,993 root INFO copying pythondata_software_compiler_rt/data/test/tsan/tls_race2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,993 root INFO copying pythondata_software_compiler_rt/data/test/tsan/tsan-vs-gvn.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,993 root INFO copying pythondata_software_compiler_rt/data/test/tsan/unaligned_norace.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,994 root INFO copying pythondata_software_compiler_rt/data/test/tsan/unaligned_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,994 root INFO copying pythondata_software_compiler_rt/data/test/tsan/vfork.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,994 root INFO copying pythondata_software_compiler_rt/data/test/tsan/virtual_inheritance_compile_bug.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,994 root INFO copying pythondata_software_compiler_rt/data/test/tsan/vptr_benign_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,995 root INFO copying pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,995 root INFO copying pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,995 root INFO copying pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race3.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,995 root INFO copying pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race4.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,995 root INFO copying pythondata_software_compiler_rt/data/test/tsan/write_in_reader_lock.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:19,996 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/Unit 2024-01-19 02:41:19,996 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/Unit/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/Unit 2024-01-19 02:41:19,996 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:19,996 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/corelimit.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:19,996 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/malloc_hook.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:19,997 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-help.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:19,997 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-include.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:19,997 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-invalid.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:19,997 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/print-stack-trace.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:19,997 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/pthread_mutexattr_get.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:19,998 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strcasestr.c -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:19,998 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strcspn.c -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:19,998 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strpbrk.c -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:19,998 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strspn.c -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:19,998 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strstr.c -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:19,999 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix 2024-01-19 02:41:19,999 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix/decorate_proc_maps.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix 2024-01-19 02:41:19,999 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix 2024-01-19 02:41:19,999 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:19,999 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/abort_on_error.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:19,999 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/aligned_alloc.c -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,000 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/assert.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,000 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/clock_gettime.c -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,000 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/fpe.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,000 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/getpass.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,001 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/getpwnam_r_invalid_user.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,001 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/hard_rss_limit_mb_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,001 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,001 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/mlock_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,001 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/open_memstream.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,002 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/ptrace.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,002 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sanitizer_set_death_callback_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,002 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sched_getparam.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,002 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sem_init_glibc.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,003 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/signal_segv_handler.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,003 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/soft_rss_limit_mb_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,003 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/timerfd.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,003 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin 2024-01-19 02:41:20,003 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin/abort_on_error.cc -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin 2024-01-19 02:41:20,004 root INFO copying pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin 2024-01-19 02:41:20,004 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/dfsan/Inputs 2024-01-19 02:41:20,004 root INFO copying pythondata_software_compiler_rt/data/test/dfsan/Inputs/flags_abilist.txt -> build/lib/pythondata_software_compiler_rt/data/test/dfsan/Inputs 2024-01-19 02:41:20,004 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/builtins 2024-01-19 02:41:20,004 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,004 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/absvdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,005 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/absvsi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,005 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/absvti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,005 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/adddf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,005 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/addsf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,005 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/addtf3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,006 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/addvdi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,006 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/addvsi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,006 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/addvti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,006 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ashldi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,006 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ashlti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,007 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ashrdi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,007 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ashrti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,007 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/bswapdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,007 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/bswapsi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,008 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/clear_cache_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,008 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/clzdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,008 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/clzsi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,008 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/clzti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,008 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/cmpdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,009 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/cmpti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,009 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/comparedf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,009 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/comparesf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,009 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ctzdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,010 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ctzsi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,010 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ctzti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,010 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divdc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,010 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divdf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,010 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divdi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,011 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divmodsi4_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,011 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divsc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,011 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divsf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,011 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divsi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,012 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divtc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,012 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divtf3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,012 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,012 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/divxc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,012 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/enable_execute_stack_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,013 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/endianness.h -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,013 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/eqdf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,013 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/eqsf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,013 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/eqtf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,013 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/extebdsfdf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,014 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/extenddftf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,014 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/extendhfsf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,014 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/extendsftf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,014 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ffsdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,015 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ffsti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,015 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,015 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfsivfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,015 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,015 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,016 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfsivfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,016 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,016 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,016 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfsi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,016 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,017 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,017 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfsi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,017 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfsivfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,017 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,018 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,018 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfsi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,018 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfsivfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,018 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,018 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,019 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfsi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,019 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,019 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,019 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfsi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,020 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,020 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixxfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,020 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fixxfti_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,020 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatdidf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,020 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatdisf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,021 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatditf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,021 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatdixf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,021 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatsidfvfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,021 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatsisfvfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,022 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatsitf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,022 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floattidf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,022 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floattisf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,022 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floattixf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,022 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatundidf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,023 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatundisf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,023 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatunditf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,023 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatundixf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,023 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatunsitf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,024 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatunssidfvfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,024 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatunssisfvfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,024 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntidf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,024 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntisf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,024 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntixf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,025 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/fp_test.h -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,025 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/gcc_personality_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,025 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/gcc_personality_test_helper.cxx -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,025 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/gedf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,026 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/gesf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,026 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/getf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,026 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/gtdf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,026 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/gtsf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,026 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/gttf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,027 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ledf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,027 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/lesf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,027 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/letf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,027 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/lshrdi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,028 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/lshrti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,028 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ltdf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,028 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ltsf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,028 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/lttf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,028 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/moddi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,029 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/modsi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,029 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/modti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,029 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/muldc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,029 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/muldf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,029 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/muldi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,030 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulodi4_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,030 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulosi4_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,030 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/muloti4_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,030 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulsc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,031 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulsf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,031 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/multc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,031 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/multf3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,031 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/multi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,032 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulvdi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,032 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulvsi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,032 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulvti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,032 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/mulxc3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,033 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/nedf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,033 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/negdf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,033 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/negdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,033 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/negsf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,033 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/negti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,034 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/negvdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,034 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/negvsi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,034 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/negvti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,034 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/nesf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,034 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/netf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,035 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/paritydi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,035 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/paritysi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,035 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/parityti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,035 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/popcountdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,036 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/popcountsi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,036 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/popcountti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,036 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/powidf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,036 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/powisf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,036 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/powitf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,037 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/powixf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,037 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/subdf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,037 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/subsf3vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,037 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/subtf3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,038 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/subvdi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,038 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/subvsi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,038 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/subvti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,038 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/test -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,038 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/trampoline_setup_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,039 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfhf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,039 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfsf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,039 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfsf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,039 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/truncsfhf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,040 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/trunctfdf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,040 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/trunctfsf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,040 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ucmpdi2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,040 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ucmpti2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,040 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/udivdi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,041 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/udivmoddi4_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,044 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/udivmodsi4_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,044 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/udivmodti4_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,068 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/udivsi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,068 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/udivti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,068 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/umoddi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,069 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/umodsi3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,069 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/umodti3_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,069 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/unorddf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,069 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/unordsf2vfp_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,070 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/unordtf2_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,070 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,070 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/ashldi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,070 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/ashrdi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,071 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/divdi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,071 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/floatdidf.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,071 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/floatdisf.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,071 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/floatdixf.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,072 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/floatundidf.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,072 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/floatundisf.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,072 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/floatundixf.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,072 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/lshrdi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,073 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/moddi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,073 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/modsi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,073 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/muldi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,073 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/negdi2.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,073 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/time -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,074 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/timing.h -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,074 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/udivdi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,074 root INFO copying pythondata_software_compiler_rt/data/test/builtins/timing/umoddi3.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,074 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 02:41:20,075 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cdcmpeq_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 02:41:20,075 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cdcmple_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 02:41:20,075 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cfcmpeq_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 02:41:20,075 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cfcmple_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 02:41:20,076 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_drsub_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 02:41:20,076 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_frsub_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 02:41:20,076 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/call_apsr.S -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 02:41:20,076 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/arm/call_apsr.h -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 02:41:20,077 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,077 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/DD.h -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,077 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/fixtfdi_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,077 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatditf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,078 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatditf_test.h -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,081 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatunditf_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,082 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatunditf_test.h -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,085 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qadd_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,085 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qdiv_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,086 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qmul_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,086 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qsub_test.c -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,086 root INFO copying pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/test -> build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,087 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,087 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/cleanup_in_tsd_destructor.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,087 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/disabler.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,087 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/disabler_in_tsd_destructor.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,087 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/do_leak_check_override.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,088 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/fork.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,088 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/fork_threaded.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,088 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/high_allocator_contention.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,088 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/ignore_object.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,088 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/ignore_object_errors.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,089 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/large_allocation_leak.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,089 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/leak_check_at_exit.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,089 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/leak_check_before_thread_started.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,089 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/link_turned_off.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,090 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/new_array_with_dtor_0.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,090 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/pointer_to_self.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,090 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/print_suppressions.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,090 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/recoverable_leak_check.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,090 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/register_root_region.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,091 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/sanity_check_pure_c.c -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,091 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/stale_stack_leak.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,091 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/suppressions_default.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,091 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/suppressions_file.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,091 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/swapcontext.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,092 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_after_return.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,092 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_globals_initialized.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,092 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_globals_uninitialized.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,092 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_poisoned_asan.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,093 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_registers.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,093 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_stacks.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,093 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_stacks_threaded.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,093 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_dynamic.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,093 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_pthread_specific_dynamic.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,094 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_pthread_specific_static.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,094 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_static.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,094 root INFO copying pythondata_software_compiler_rt/data/test/lsan/TestCases/use_unaligned.cc -> build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,094 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 02:41:20,094 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/gcc-flag-compatibility.c -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 02:41:20,095 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-func.c -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 02:41:20,095 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-func2.c -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 02:41:20,095 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-main.c -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 02:41:20,095 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-a.cpp -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 02:41:20,095 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-b.cpp -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 02:41:20,096 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-header.h -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 02:41:20,096 root INFO copying pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-main.cpp -> build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 02:41:20,096 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/msan/Unit 2024-01-19 02:41:20,096 root INFO copying pythondata_software_compiler_rt/data/test/msan/Unit/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/msan/Unit 2024-01-19 02:41:20,096 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-01-19 02:41:20,097 root INFO copying pythondata_software_compiler_rt/data/test/msan/scandir_test_root/aaa -> build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-01-19 02:41:20,097 root INFO copying pythondata_software_compiler_rt/data/test/msan/scandir_test_root/aab -> build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-01-19 02:41:20,097 root INFO copying pythondata_software_compiler_rt/data/test/msan/scandir_test_root/bbb -> build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-01-19 02:41:20,097 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,097 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/fopencookie.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,097 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/forkpty.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,098 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/getresid.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,098 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/glob.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,098 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/glob_altdirfunc.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,099 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/glob_nomatch.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,099 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/ioctl_sound.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,099 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,099 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/mallinfo.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,100 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/mincore.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,100 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/obstack.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,100 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/process_vm_readv.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,100 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,100 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc_bytes.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,101 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc_string.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,101 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/syscalls.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,101 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/tcgetattr.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,101 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/xattr.cc -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,101 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/xattr_test_root 2024-01-19 02:41:20,102 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/xattr_test_root/a -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/xattr_test_root 2024-01-19 02:41:20,102 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-01-19 02:41:20,102 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/aa -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-01-19 02:41:20,102 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/ab -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-01-19 02:41:20,102 root INFO copying pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/ba -> build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-01-19 02:41:20,103 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases 2024-01-19 02:41:20,103 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Float 2024-01-19 02:41:20,103 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Float/cast-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Float 2024-01-19 02:41:20,103 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 02:41:20,103 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/misaligned.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 02:41:20,103 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/null.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 02:41:20,104 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr-virtual-base-construction.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 02:41:20,104 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr-virtual-base.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 02:41:20,104 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 02:41:20,104 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,104 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/add-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,105 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/div-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,105 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/div-zero.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,105 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/incdec-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,105 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/mul-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,105 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/negate-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,106 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/no-recover.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,106 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/shift.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,106 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/sub-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,106 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/summary.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,106 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/uadd-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,107 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/uincdec-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,107 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/umul-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,107 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/usub-overflow.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,107 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,107 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/bool.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,108 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/bounds.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,108 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/coverage-levels.cc -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,108 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/deduplication.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,108 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/enum.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,109 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/log-path_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,109 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/missing_return.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,109 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/nonnull-arg.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,109 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/nonnull.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,109 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/unreachable.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,110 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/vla.c -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,110 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function 2024-01-19 02:41:20,110 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function/function.cpp -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function 2024-01-19 02:41:20,110 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function 2024-01-19 02:41:20,110 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux 2024-01-19 02:41:20,110 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux 2024-01-19 02:41:20,111 root INFO copying pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux/ubsan_options.cc -> build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux 2024-01-19 02:41:20,111 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/cfi/icall 2024-01-19 02:41:20,111 root INFO copying pythondata_software_compiler_rt/data/test/cfi/icall/bad-signature.c -> build/lib/pythondata_software_compiler_rt/data/test/cfi/icall 2024-01-19 02:41:20,111 root INFO copying pythondata_software_compiler_rt/data/test/cfi/icall/external-call.c -> build/lib/pythondata_software_compiler_rt/data/test/cfi/icall 2024-01-19 02:41:20,111 root INFO copying pythondata_software_compiler_rt/data/test/cfi/icall/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/cfi/icall 2024-01-19 02:41:20,112 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/Unit 2024-01-19 02:41:20,112 root INFO copying pythondata_software_compiler_rt/data/test/asan/Unit/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/asan/Unit 2024-01-19 02:41:20,112 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,112 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_big_alignment.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,112 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_detect_custom_size_.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,113 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_instruments_all_paddings.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,113 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_loop_unpoisoning.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,113 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_overflow_partial.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,113 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_overflow_right.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,113 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_safe_access.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,114 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_underflow_left.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,114 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_vla_interact.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,114 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/allocator_returns_null.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,114 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/asan_and_llvm_coverage_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,114 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/asan_options-help.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,115 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/atexit_stats.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,115 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/atoi_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,115 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/atol_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,115 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/atoll_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,116 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/blacklist.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,116 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/contiguous_container.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,116 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/contiguous_container_crash.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,116 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-and-lsan.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,116 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-caller-callee-total-count.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,117 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-disabled.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,117 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-levels.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,117 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-order-pcs.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,117 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-reset.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,117 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-tracing.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,118 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/debug_locate.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,118 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/debug_mapping.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,118 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/debug_ppc64_mapping.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,118 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/debug_report.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,119 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/debug_stacks.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,119 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/deep_stack_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,119 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/deep_tail_call.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,119 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/default_blacklist.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,119 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/default_options.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,120 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/describe_address.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,120 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/double-free.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,120 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/dump_instruction_bytes.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,120 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/force_inline_opt0.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,120 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/frexp_interceptor.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,121 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/global-demangle.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,121 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/global-location.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,121 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/global-overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,121 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/heap-overflow-large.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,121 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/heap-overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,122 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/heavy_uar_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,122 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/huge_negative_hea_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,122 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/init-order-atexit.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,122 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-blacklist.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,123 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-bug.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,123 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-constexpr.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,123 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-nobug.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,123 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/inline.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,123 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/interception_failure_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,124 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/interface_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,124 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/intra-object-overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,124 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/invalid-free.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,124 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/large_func_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,124 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/log-path_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,125 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/longjmp.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,125 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/lsan_annotations.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,125 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/malloc_context_size.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,125 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/malloc_fill.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,125 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/max_redzone.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,126 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/memcmp_strict_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,126 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/memcmp_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,126 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/memset_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,126 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/mmap_limit_mb.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,127 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/no_asan_gen_globals.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,127 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/null_deref.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,127 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/on_error_callback.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,127 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/partial_right.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,127 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/poison_partial.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,128 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/print_summary.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,128 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/printf-1.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,128 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/printf-2.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,128 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/printf-3.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,128 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/printf-4.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,129 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/printf-5.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,129 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/sanity_check_pure_c.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,129 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/sleep_before_dying.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,129 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/speculative_load.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,130 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/stack-buffer-overflow-with-position.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,130 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/stack-buffer-overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,130 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/stack-frame-demangle.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,130 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/stack-oob-frames.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,130 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr-1.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,131 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr-2.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,131 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,131 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcat_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,131 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strchr_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,131 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcmp_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,132 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn-1.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,132 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn-2.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,132 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,132 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strdup_oob_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,132 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strip_path_prefix.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,133 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strncat_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,133 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strncpy-overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,133 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk-1.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,133 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk-2.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,134 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,134 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strspn-1.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,134 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strspn-2.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,134 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strspn_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,134 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strstr-1.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,135 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strstr-2.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,135 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strstr_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,135 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strtol_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,135 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/strtoll_strict.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,136 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-exec-relative-location.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,136 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-function.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,136 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-interceptor.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,136 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-library.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,136 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/throw_call_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,137 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/throw_catch.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,137 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/throw_invoke_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,137 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/time_interceptor.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,137 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/uar_and_exceptions.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,137 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/unaligned_loads_and_stores.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,138 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-delete.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,138 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-free-right.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,138 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-free.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,138 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-poison.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,139 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-dtor-order.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,139 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-inlined.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,139 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-nobug.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,139 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-temp.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,139 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,140 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/verbose-log-path_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,140 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/vla_chrome_testcase.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,140 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/vla_condition_overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,140 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/vla_loop_overfow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,140 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/zero_page_pc.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,141 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,141 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/blacklist-extra.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,141 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/echo-env.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,141 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/init-order-atexit-extra.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,141 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist-extra.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,142 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist-extra2.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,142 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist.txt -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,142 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-bug-extra.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,142 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-bug-extra2.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,142 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-constexpr-extra.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,143 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-nobug-extra.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,143 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,143 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,143 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/aligned_mallocs.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,143 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/allocators_sanity.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,144 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/beginthreadex.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,144 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bind_io_completion_callback.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,144 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bitfield.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,144 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bitfield_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,145 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,145 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_right_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,145 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,145 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/coverage-basic.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,145 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/crt_initializers.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,146 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/default_options.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,146 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/demangled_names.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,146 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_aligned_mallocs.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,146 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_allocators_sanity.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,146 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_and_lib.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,147 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_cerr.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,147 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_control_c.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,147 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_host.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,147 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memchr.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,147 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memcpy.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,148 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memcpy_indirect.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,148 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memset.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,148 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_strlen.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,148 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_large_function.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,149 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_malloc_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,149 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_malloc_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,149 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_noreturn.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,149 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_null_deref.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,149 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_operator_array_new_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,150 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_operator_array_new_with_dtor_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,150 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_poison_unpoison.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,150 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_report_globals_symbolization_at_startup.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,150 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_seh.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,150 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_stack_use_after_return.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,151 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_thread_stack_array_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,151 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/double_free.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,151 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/double_operator_delete.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,151 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/free_hook_realloc.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,151 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/fuse-lld.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,152 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/global_const_string.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,152 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/global_const_string_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,152 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/hello_world.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,152 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_memcpy.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,153 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_strdup.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,153 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_strlen.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,153 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/iostream_sbo.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,153 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,153 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/longjmp.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,154 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,154 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_right_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,154 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,154 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/null_deref.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,154 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/null_deref_multiple_dlls.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,155 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/on_error_callback.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,155 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/oom.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,155 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,155 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_right_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,156 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,156 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_with_dtor_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,156 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_delete_wrong_argument.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,156 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,156 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_right_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,157 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,157 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/queue_user_work_item.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,157 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/queue_user_work_item_report.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,157 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,157 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_right_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,158 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_uaf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,158 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_after_syminitialize.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,158 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_globals_reload_dll.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,158 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_globals_vs_freelibrary.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,158 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/seh.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,159 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/shadow_mapping_failure.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,159 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,159 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_right_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,159 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_sanity.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,160 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_use_after_return.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,160 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/symbols_path.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,160 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_simple.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,160 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_array_left_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,160 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_array_right_oob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,161 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_reuse.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,161 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stress.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,161 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_suspended.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,161 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/throw_catch.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,161 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/unsymbolized.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,162 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/use_after_realloc.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,162 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/use_after_return_linkage.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,162 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/windows_h.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,162 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/wrong_downcast_on_heap.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,162 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/wrong_downcast_on_stack.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,163 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Android 2024-01-19 02:41:20,163 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Android/coverage-android.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Android 2024-01-19 02:41:20,163 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Android/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Android 2024-01-19 02:41:20,163 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,163 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/allow_user_segv.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,164 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asan-symbolize-bad-path.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,164 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asan-symbolize-sanity-test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,164 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asprintf.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,164 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/assign_large_valloc_to_global.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,165 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/closed-fds.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,165 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-caller-callee.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,165 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct-activation.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,165 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct-large.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,165 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,166 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-fork-direct.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,166 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-fork.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,166 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-maybe-open-file.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,166 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-module-unloaded.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,166 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-sandboxing.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,167 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,167 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/current_allocated_bytes.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,167 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/deep_call_stack.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,167 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/deep_thread_stack.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,167 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/dlclose-test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,168 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/free_hook_realloc.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,168 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/freopen.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,168 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/gc-test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,168 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,169 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/init-order-pthread-create.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,169 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/interception-in-shared-lib-test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,169 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/ioctl.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,169 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/large_allocator_unpoisons_on_free.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,169 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,170 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/log_path_fork_test.cc.disabled -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,170 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,170 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_uaf_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,170 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_with_new_from_class.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,170 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/readv.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,171 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/shared-lib-test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,171 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/stack-overflow.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,171 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/stack-use-after-return.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,171 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/start-deactivated.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,172 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/strerror_r_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,172 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/tsd_dtor_leak.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,172 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,172 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait3.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,172 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait4.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,173 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/waitid.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,173 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,173 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/abort_on_error.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,173 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/activation-options.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,173 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan-asm-stacktrace-test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,174 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_default_suppressions.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,174 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_dlopen_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,174 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_prelink_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,174 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_preload_test-1.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,174 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_preload_test-2.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,175 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_rt_confict_test-1.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,175 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_rt_confict_test-2.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,175 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/clang_gcc_abi.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,175 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/clone_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,175 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/coverage-missing.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,176 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/function-sections-are-bad.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,176 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/globals-gc-sections.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,176 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/init-order-dlopen.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,176 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/init_fini_sections.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,177 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/initialization-bug-any-order.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,177 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_malloc_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,177 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_readdir_r_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,177 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,177 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interface_symbols_linux.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,178 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/kernel-area.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,178 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/leak.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,178 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/leak_check_segv.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,178 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,178 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/malloc-in-qsort.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,179 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/malloc_delete_mismatch.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,179 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/nohugepage_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,179 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/odr-violation.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,179 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/overflow-in-qsort.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,179 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/preinit_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,180 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/pthread_create_version.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,180 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/ptrace.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,180 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/quarantine_size_mb.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,180 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/read_binary_name_regtest.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,181 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/rlimit_mmap_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,181 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/shmctl.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,181 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/signal_during_stop_the_world.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,181 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/sized_delete_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,181 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stack-overflow-sigbus.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,182 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stack-trace-dlclose.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,182 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/static_tls.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,182 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stress_dtls.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,182 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/swapcontext_test.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,183 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/syscalls.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,183 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/uar_signals.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,183 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/unpoison_tls.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,183 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,183 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/abort_on_error.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,183 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/address-range-limit.mm -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,184 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/asan_gen_prefixes.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,184 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/atos-symbolizer-dyld-root-path.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,184 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/atos-symbolizer.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,184 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/crashlog-stacktraces.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,185 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/cstring_literals_regtest.mm -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,185 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dladdr-demangling.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,185 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dyld_insert_libraries_reexec.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,185 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dyld_insert_libraries_remove.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,185 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/empty-section.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,186 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/interface_symbols_darwin.c -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,186 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/linked-only.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,186 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,186 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/malloc_set_zone_name-mprotect.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,186 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/malloc_zone-protected.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,187 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/mixing-global-constructors.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,187 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/objc-odr.mm -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,187 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/reexec-insert-libraries-env.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,187 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/sandbox-symbolizer.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,187 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/suppressions-darwin.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,188 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/suppressions-sandbox.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,188 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/unset-insert-libraries-on-exec.cc -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,188 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-01-19 02:41:20,188 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/aa -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-01-19 02:41:20,188 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/ab -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-01-19 02:41:20,189 root INFO copying pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/ba -> build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-01-19 02:41:20,189 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/tsan/Unit 2024-01-19 02:41:20,189 root INFO copying pythondata_software_compiler_rt/data/test/tsan/Unit/lit.site.cfg.in -> build/lib/pythondata_software_compiler_rt/data/test/tsan/Unit 2024-01-19 02:41:20,189 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/tsan/libcxx 2024-01-19 02:41:20,189 root INFO copying pythondata_software_compiler_rt/data/test/tsan/libcxx/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/tsan/libcxx 2024-01-19 02:41:20,190 root INFO copying pythondata_software_compiler_rt/data/test/tsan/libcxx/std_shared_ptr.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan/libcxx 2024-01-19 02:41:20,190 root INFO creating build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 02:41:20,190 root INFO copying pythondata_software_compiler_rt/data/test/tsan/Linux/lit.local.cfg -> build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 02:41:20,190 root INFO copying pythondata_software_compiler_rt/data/test/tsan/Linux/mutex_robust.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 02:41:20,190 root INFO copying pythondata_software_compiler_rt/data/test/tsan/Linux/mutex_robust2.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 02:41:20,190 root INFO copying pythondata_software_compiler_rt/data/test/tsan/Linux/user_fopen.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 02:41:20,191 root INFO copying pythondata_software_compiler_rt/data/test/tsan/Linux/user_malloc.cc -> build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 02:41:20,191 root INFO creating build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 02:41:20,191 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/allocator_interface.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 02:41:20,191 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/asan_interface.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 02:41:20,191 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/common_interface_defs.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 02:41:20,192 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/coverage_interface.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 02:41:20,192 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/dfsan_interface.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 02:41:20,192 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/linux_syscall_hooks.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 02:41:20,193 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/lsan_interface.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 02:41:20,193 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/msan_interface.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 02:41:20,193 root INFO copying pythondata_software_compiler_rt/data/include/sanitizer/tsan_interface_atomic.h -> build/lib/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 02:41:20,193 root INFO creating build/lib/pythondata_software_compiler_rt/data/make/platform 2024-01-19 02:41:20,194 root INFO copying pythondata_software_compiler_rt/data/make/platform/clang_darwin.mk -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-01-19 02:41:20,194 root INFO copying pythondata_software_compiler_rt/data/make/platform/clang_darwin_test_input.c -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-01-19 02:41:20,194 root INFO copying pythondata_software_compiler_rt/data/make/platform/clang_linux.mk -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-01-19 02:41:20,194 root INFO copying pythondata_software_compiler_rt/data/make/platform/clang_linux_test_input.c -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-01-19 02:41:20,194 root INFO copying pythondata_software_compiler_rt/data/make/platform/clang_macho_embedded.mk -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-01-19 02:41:20,195 root INFO copying pythondata_software_compiler_rt/data/make/platform/clang_macho_embedded_test_input.c -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-01-19 02:41:20,195 root INFO copying pythondata_software_compiler_rt/data/make/platform/darwin_bni.mk -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-01-19 02:41:20,195 root INFO copying pythondata_software_compiler_rt/data/make/platform/multi_arch.mk -> build/lib/pythondata_software_compiler_rt/data/make/platform 2024-01-19 02:41:20,195 root INFO creating build/lib/pythondata_software_compiler_rt/data/make/test 2024-01-19 02:41:20,195 root INFO copying pythondata_software_compiler_rt/data/make/test/test-util.mk -> build/lib/pythondata_software_compiler_rt/data/make/test 2024-01-19 02:41:20,196 root INFO creating build/lib/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 02:41:20,196 root INFO copying pythondata_software_compiler_rt/data/cmake/Modules/AddCompilerRT.cmake -> build/lib/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 02:41:20,196 root INFO copying pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTCompile.cmake -> build/lib/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 02:41:20,196 root INFO copying pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTDarwinUtils.cmake -> build/lib/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 02:41:20,196 root INFO copying pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTLink.cmake -> build/lib/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 02:41:20,197 root INFO copying pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTUtils.cmake -> build/lib/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 02:41:20,197 root INFO copying pythondata_software_compiler_rt/data/cmake/Modules/SanitizerUtils.cmake -> build/lib/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 02:41:20,197 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,197 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,198 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_addrhashmap.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,198 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,198 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,198 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator_interface.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,198 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator_internal.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,199 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_asm.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,199 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,199 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,199 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang_other.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,200 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang_x86.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,200 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_msvc.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,200 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_bitvector.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,200 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_bvgraph.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,200 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,201 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,201 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,201 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors_format.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,202 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors_ioctl.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,202 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,202 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_nolibc.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,202 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_syscalls.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,203 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_coverage_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,203 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_coverage_mapping_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,203 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,203 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector1.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,204 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector2.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,204 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector_interface.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,204 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flag_parser.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,204 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flag_parser.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,205 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,205 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,205 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,205 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_freebsd.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,205 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_interface_internal.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,206 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_internal_defs.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,206 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_lfstack.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,206 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libc.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,206 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libc.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,207 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libignore.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,207 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libignore.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,207 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,207 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,208 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,208 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_list.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,208 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,208 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mac.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,208 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_malloc_mac.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,209 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mutex.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,209 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_persistent_allocator.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,209 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_persistent_allocator.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,209 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_placement_new.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,210 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,210 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_interceptors.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,210 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,210 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_posix.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,211 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_posix.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,211 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,211 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,211 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,212 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_printf.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,212 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,212 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_common.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,212 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_freebsd.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,212 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,213 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,213 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_quarantine.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,213 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_report_decorator.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,213 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepot.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,214 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepot.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,214 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepotbase.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,214 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,214 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,214 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,215 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_printer.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,215 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_printer.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,215 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stoptheworld.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,215 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stoptheworld_linux_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,216 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_suppressions.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,216 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_suppressions.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,216 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,216 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,216 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_internal.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,217 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libbacktrace.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,217 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libbacktrace.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,217 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,217 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,218 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_mac.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,218 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_posix_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,218 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_win.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,218 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_generic.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,219 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_linux_aarch64.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,219 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_linux_x86_64.inc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,219 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_thread_registry.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,219 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_thread_registry.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,219 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_tls_get_addr.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,220 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_tls_get_addr.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,220 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_unwind_linux_libcdep.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,220 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_win.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,220 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 02:41:20,221 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/dfsan.cc -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 02:41:20,221 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/dfsan.h -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 02:41:20,221 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/dfsan.syms.extra -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 02:41:20,221 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/dfsan_custom.cc -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 02:41:20,222 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/dfsan_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 02:41:20,222 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/dfsan_interceptors.cc -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 02:41:20,222 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/done_abilist.txt -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 02:41:20,222 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/libc_ubuntu1404_abilist.txt -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 02:41:20,223 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,223 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,223 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,223 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/README.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,224 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/absvdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,224 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/absvsi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,224 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/absvti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,224 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/adddf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,225 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/addsf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,225 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/addtf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,225 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/addvdi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,225 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/addvsi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,225 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/addvti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,226 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/apple_versioning.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,226 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ashldi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,226 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ashlti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,226 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ashrdi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,226 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ashrti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,227 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/assembly.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,227 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/atomic.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,227 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_clear.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,227 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_clear_explicit.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,228 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_test_and_set.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,228 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_test_and_set_explicit.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,228 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/atomic_signal_fence.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,228 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/atomic_thread_fence.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,228 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/clear_cache.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,229 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/clzdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,229 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/clzsi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,229 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/clzti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,229 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/cmpdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,229 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/cmpti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,230 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/comparedf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,230 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/comparesf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,230 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/comparetf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,230 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ctzdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,231 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ctzsi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,231 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ctzti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,231 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divdc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,231 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divdf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,231 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divdi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,232 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divmoddi4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,232 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divmodsi4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,232 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divsc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,232 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divsf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,233 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divsi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,233 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divtf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,233 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,233 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/divxc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,233 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/emutls.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,234 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/enable_execute_stack.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,234 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/eprintf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,234 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/extenddftf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,234 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/extendhfsf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,234 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/extendsfdf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,235 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/extendsftf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,235 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ffsdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,235 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ffsti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,235 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixdfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,235 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixdfsi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,236 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixdfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,236 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixsfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,236 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixsfsi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,236 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixsfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,237 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixtfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,237 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixtfsi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,237 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixtfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,237 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunsdfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,237 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunsdfsi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,238 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunsdfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,238 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunssfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,238 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunssfsi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,238 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunssfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,238 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunstfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,239 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunstfsi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,239 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunstfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,239 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunsxfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,239 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunsxfsi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,239 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixunsxfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,240 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixxfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,240 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fixxfti.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,240 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatdidf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,240 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatdisf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,241 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatditf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,241 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatdixf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,241 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatsidf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,241 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatsisf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,241 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatsitf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,242 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floattidf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,242 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floattisf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,242 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floattixf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,242 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatundidf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,242 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatundisf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,243 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatunditf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,243 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatundixf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,243 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatunsidf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,243 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatunsisf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,244 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatunsitf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,244 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatuntidf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,244 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatuntisf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,244 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/floatuntixf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,244 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_add_impl.inc -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,245 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_extend.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,245 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_extend_impl.inc -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,245 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_fixint_impl.inc -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,245 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_fixuint_impl.inc -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,245 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_lib.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,246 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_mul_impl.inc -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,246 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_trunc.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,246 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/fp_trunc_impl.inc -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,246 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/gcc_personality_v0.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,247 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/int_endianness.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,247 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/int_lib.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,247 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/int_math.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,247 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/int_types.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,247 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/int_util.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,248 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/int_util.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,248 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/lshrdi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,248 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/lshrti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,248 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/moddi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,249 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/modsi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,249 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/modti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,249 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/muldc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,249 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/muldf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,249 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/muldi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,250 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulodi4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,250 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulosi4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,250 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/muloti4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,250 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulsc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,250 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulsf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,251 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/multc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,251 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/multf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,251 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/multi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,251 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulvdi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,252 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulvsi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,252 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulvti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,252 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/mulxc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,252 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/negdf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,252 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/negdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,253 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/negsf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,253 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/negti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,253 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/negvdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,253 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/negvsi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,253 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/negvti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,254 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/paritydi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,254 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/paritysi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,254 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/parityti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,254 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/popcountdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,254 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/popcountsi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,255 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/popcountti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,255 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/powidf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,255 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/powisf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,255 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/powitf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,256 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/powixf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,256 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/subdf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,256 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/subsf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,256 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/subtf3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,256 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/subvdi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,257 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/subvsi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,257 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/subvti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,257 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/trampoline_setup.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,257 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/truncdfhf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,257 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/truncdfsf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,258 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/truncsfhf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,258 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/trunctfdf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,258 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/trunctfsf2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,258 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ucmpdi2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,259 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ucmpti2.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,259 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/udivdi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,259 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/udivmoddi4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,259 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/udivmodsi4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,259 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/udivmodti4.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,260 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/udivsi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,260 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/udivti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,260 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/umoddi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,260 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/umodsi3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,261 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/umodti3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,261 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 02:41:20,261 root INFO copying pythondata_software_compiler_rt/data/lib/interception/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 02:41:20,261 root INFO copying pythondata_software_compiler_rt/data/lib/interception/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 02:41:20,261 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception.h -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 02:41:20,262 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 02:41:20,262 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception_linux.h -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 02:41:20,262 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 02:41:20,262 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception_mac.h -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 02:41:20,262 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception_type_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 02:41:20,263 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception_win.cc -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 02:41:20,263 root INFO copying pythondata_software_compiler_rt/data/lib/interception/interception_win.h -> build/lib/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 02:41:20,263 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,263 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,263 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,264 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan.cc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,264 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan.h -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,264 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_allocator.cc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,264 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_allocator.h -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,265 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_common.cc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,265 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_common.h -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,265 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_common_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,265 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,265 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_interceptors.cc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,266 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_preinit.cc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,266 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_thread.cc -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,266 root INFO copying pythondata_software_compiler_rt/data/lib/lsan/lsan_thread.h -> build/lib/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,266 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,267 root INFO copying pythondata_software_compiler_rt/data/lib/profile/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,267 root INFO copying pythondata_software_compiler_rt/data/lib/profile/GCDAProfiling.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,267 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfiling.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,267 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfiling.h -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,267 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingBuffer.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,268 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingFile.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,268 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingInternal.h -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,268 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformDarwin.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,268 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformLinux.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,268 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformOther.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,269 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingRuntime.cc -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,269 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingUtil.c -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,269 root INFO copying pythondata_software_compiler_rt/data/lib/profile/InstrProfilingUtil.h -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,269 root INFO copying pythondata_software_compiler_rt/data/lib/profile/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,269 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,270 root INFO copying pythondata_software_compiler_rt/data/lib/msan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,270 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,270 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,270 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan.syms.extra -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,271 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_allocator.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,271 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_allocator.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,271 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_blacklist.txt -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,271 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_chained_origin_depot.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,271 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_chained_origin_depot.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,272 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_flags.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,272 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,272 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_interceptors.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,272 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_interface_internal.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,273 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,273 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_new_delete.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,273 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_origin.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,273 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_poisoning.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,273 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_poisoning.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,274 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_report.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,274 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_thread.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,274 root INFO copying pythondata_software_compiler_rt/data/lib/msan/msan_thread.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,274 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/safestack 2024-01-19 02:41:20,274 root INFO copying pythondata_software_compiler_rt/data/lib/safestack/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/safestack 2024-01-19 02:41:20,275 root INFO copying pythondata_software_compiler_rt/data/lib/safestack/safestack.cc -> build/lib/pythondata_software_compiler_rt/data/lib/safestack 2024-01-19 02:41:20,275 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,275 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,275 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,275 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan.syms.extra -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,276 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_checks.inc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,276 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_diag.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,276 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_diag.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,276 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,277 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,277 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,277 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,277 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,277 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers_cxx.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,278 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers_cxx.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,278 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,278 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,278 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init_standalone.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,279 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_platform.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,279 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,279 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,279 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash_itanium.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,279 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash_win.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,280 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_value.cc -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,280 root INFO copying pythondata_software_compiler_rt/data/lib/ubsan/ubsan_value.h -> build/lib/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,280 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/cfi 2024-01-19 02:41:20,280 root INFO copying pythondata_software_compiler_rt/data/lib/cfi/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/cfi 2024-01-19 02:41:20,280 root INFO copying pythondata_software_compiler_rt/data/lib/cfi/cfi_blacklist.txt -> build/lib/pythondata_software_compiler_rt/data/lib/cfi 2024-01-19 02:41:20,281 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-01-19 02:41:20,281 root INFO copying pythondata_software_compiler_rt/data/lib/BlocksRuntime/Block.h -> build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-01-19 02:41:20,281 root INFO copying pythondata_software_compiler_rt/data/lib/BlocksRuntime/Block_private.h -> build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-01-19 02:41:20,281 root INFO copying pythondata_software_compiler_rt/data/lib/BlocksRuntime/data.c -> build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-01-19 02:41:20,281 root INFO copying pythondata_software_compiler_rt/data/lib/BlocksRuntime/runtime.c -> build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-01-19 02:41:20,282 root INFO copying pythondata_software_compiler_rt/data/lib/asan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,282 root INFO copying pythondata_software_compiler_rt/data/lib/asan/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,282 root INFO copying pythondata_software_compiler_rt/data/lib/asan/README.txt -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,282 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan.syms.extra -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,283 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_activation.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,283 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_activation.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,283 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_activation_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,283 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_allocator.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,283 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_allocator.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,284 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_blacklist.txt -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,284 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_debugging.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,284 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_fake_stack.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,284 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_fake_stack.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,285 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_flags.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,285 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_flags.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,285 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,285 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_globals.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,285 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_init_version.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,286 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_interceptors.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,286 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_interceptors.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,286 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_interface_internal.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,286 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_internal.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,287 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,287 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_lock.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,287 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,287 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_malloc_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,287 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_malloc_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,288 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_malloc_win.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,288 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_mapping.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,288 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_new_delete.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,288 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_poisoning.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,289 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_poisoning.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,289 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_posix.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,289 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_preinit.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,289 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_report.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,290 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_report.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,290 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_rtl.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,290 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_stack.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,290 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_stack.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,290 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_stats.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,291 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_stats.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,291 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_suppressions.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,291 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_suppressions.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,291 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_thread.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,292 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_thread.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,292 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_win.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,292 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_win_dll_thunk.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,292 root INFO copying pythondata_software_compiler_rt/data/lib/asan/asan_win_dynamic_runtime_thunk.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,292 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 02:41:20,293 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 02:41:20,293 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/Makefile.old -> build/lib/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 02:41:20,293 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/analyze_libtsan.sh -> build/lib/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 02:41:20,293 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/check_analyze.sh -> build/lib/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 02:41:20,293 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/check_cmake.sh -> build/lib/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 02:41:20,294 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/check_memcpy.sh -> build/lib/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 02:41:20,294 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,294 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,294 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_allocator_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,295 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_allocator_testlib.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,295 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_atomic_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,295 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_bitvector_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,295 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_bvgraph_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,295 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_common_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,296 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_deadlock_detector_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,296 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_flags_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,296 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_format_interceptor_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,296 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_ioctl_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,297 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_libc_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,297 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_linux_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,297 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_list_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,297 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_mutex_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,297 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_nolibc_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,298 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_nolibc_test_main.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,298 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_posix_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,298 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_printf_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,298 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_procmaps_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,299 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_pthread_wrappers.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,299 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stackdepot_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,299 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stacktrace_printer_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,299 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stacktrace_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,299 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stoptheworld_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,300 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stoptheworld_testlib.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,300 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_suppressions_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,300 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_symbolizer_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,300 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_config.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,300 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_main.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,301 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_utils.h -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,301 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_thread_registry_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,301 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/standalone_malloc_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,301 root INFO copying pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/check_lint.sh -> build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 02:41:20,302 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/scripts/build-libc-list.py -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan/scripts 2024-01-19 02:41:20,302 root INFO copying pythondata_software_compiler_rt/data/lib/dfsan/scripts/check_custom_wrappers.sh -> build/lib/pythondata_software_compiler_rt/data/lib/dfsan/scripts 2024-01-19 02:41:20,302 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,302 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,302 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/ashldi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,303 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/ashrdi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,303 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/chkstk.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,303 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/chkstk2.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,303 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/divdi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,303 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/floatdidf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,304 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/floatdisf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,304 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/floatdixf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,304 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/floatundidf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,304 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/floatundisf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,305 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/floatundixf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,305 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/lshrdi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,305 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/moddi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,305 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/muldi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,305 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/udivdi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,306 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/i386/umoddi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,306 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm64 2024-01-19 02:41:20,306 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm64/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm64 2024-01-19 02:41:20,306 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,306 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,306 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/adddf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,307 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/addsf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,307 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cdcmp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,307 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cdcmpeq_check_nan.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,307 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cfcmp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,308 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cfcmpeq_check_nan.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,308 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_dcmp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,308 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_div0.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,308 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_drsub.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,308 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_fcmp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,309 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_frsub.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,309 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_idivmod.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,309 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_ldivmod.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,309 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memcmp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,309 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memcpy.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,310 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memmove.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,310 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memset.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,310 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_uidivmod.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,310 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_uldivmod.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,310 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/bswapdi2.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,311 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/bswapsi2.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,311 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/clzdi2.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,311 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/clzsi2.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,311 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/comparesf2.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,312 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/divdf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,312 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/divmodsi4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,312 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/divsf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,312 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/divsi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,312 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/eqdf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,313 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/eqsf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,313 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/extendsfdf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,313 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/fixdfsivfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,313 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/fixsfsivfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,313 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/fixunsdfsivfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,314 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/fixunssfsivfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,314 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/floatsidfvfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,314 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/floatsisfvfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,314 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/floatunssidfvfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,315 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/floatunssisfvfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,315 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/gedf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,315 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/gesf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,315 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/gtdf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,315 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/gtsf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,316 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/ledf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,316 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/lesf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,316 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/ltdf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,316 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/ltsf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,316 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/modsi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,317 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/muldf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,317 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/mulsf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,317 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/nedf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,317 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/negdf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,317 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/negsf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,318 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/nesf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,318 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/restore_vfp_d8_d15_regs.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,318 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/save_vfp_d8_d15_regs.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,318 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/softfloat-alias.list -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,319 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/subdf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,319 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/subsf3vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,319 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/switch16.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,319 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/switch32.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,319 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/switch8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,320 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/switchu8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,320 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync-ops.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,320 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_add_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,320 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_add_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,320 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_and_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,321 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_and_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,321 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_max_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,321 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_max_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,321 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_min_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,321 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_min_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,322 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_nand_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,322 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_nand_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,322 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_or_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,322 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_or_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,323 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_sub_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,323 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_sub_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,323 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umax_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,323 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umax_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,323 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umin_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,324 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umin_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,324 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_xor_4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,324 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_xor_8.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,324 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/sync_synchronize.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,324 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/truncdfsf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,325 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/udivmodsi4.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,325 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/udivsi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,325 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/umodsi3.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,325 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/unorddf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,326 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/arm/unordsf2vfp.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,326 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 02:41:20,326 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 02:41:20,326 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/chkstk.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 02:41:20,326 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/chkstk2.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 02:41:20,326 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdidf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 02:41:20,327 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdisf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 02:41:20,327 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdixf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 02:41:20,327 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundidf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 02:41:20,327 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundisf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 02:41:20,328 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundixf.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 02:41:20,328 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/armv6m 2024-01-19 02:41:20,328 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/armv6m/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/armv6m 2024-01-19 02:41:20,328 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,328 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/10.4-x86_64.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,328 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/10.4.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,329 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,329 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/README.TXT -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,329 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios-armv7.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,329 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios-armv7s.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,329 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,330 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios6-armv7.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,330 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios6-armv7s.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,330 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios7-arm64.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,330 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim-i386.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,331 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim-x86_64.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,331 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,331 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx-i386.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,331 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx-x86_64.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,331 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,332 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 02:41:20,332 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 02:41:20,332 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/arm.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 02:41:20,332 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/common.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 02:41:20,332 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/i386.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 02:41:20,333 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/thumb2-64.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 02:41:20,333 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/thumb2.txt -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 02:41:20,333 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,333 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/DD.h -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,333 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/Makefile.mk -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,334 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/divtc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,334 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/fixtfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,334 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/fixunstfdi.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,334 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/floatditf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,334 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/floatunditf.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,335 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qadd.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,335 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qdiv.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,335 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qmul.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,335 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qsub.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,335 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/multc3.c -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,336 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/restFP.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,336 root INFO copying pythondata_software_compiler_rt/data/lib/builtins/ppc/saveFP.S -> build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,336 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 02:41:20,336 root INFO copying pythondata_software_compiler_rt/data/lib/msan/tests/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 02:41:20,336 root INFO copying pythondata_software_compiler_rt/data/lib/msan/tests/msan_loadable.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 02:41:20,337 root INFO copying pythondata_software_compiler_rt/data/lib/msan/tests/msan_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 02:41:20,337 root INFO copying pythondata_software_compiler_rt/data/lib/msan/tests/msan_test_config.h -> build/lib/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 02:41:20,337 root INFO copying pythondata_software_compiler_rt/data/lib/msan/tests/msan_test_main.cc -> build/lib/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 02:41:20,337 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,338 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,338 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_asm_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,338 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_benchmarks_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,338 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_exceptions_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,339 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_fake_stack_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,339 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_globals_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,339 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_interface_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,339 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,339 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,340 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test_helpers.mm -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,340 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_mem_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,340 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_noinst_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,340 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_oob_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,341 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_racy_double_free_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,341 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_str_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,341 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,341 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_test.ignore -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,341 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_config.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,342 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_main.cc -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,342 root INFO copying pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_utils.h -> build/lib/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,342 root INFO copying pythondata_software_compiler_rt/data/lib/asan/scripts/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-01-19 02:41:20,342 root INFO copying pythondata_software_compiler_rt/data/lib/asan/scripts/asan_device_setup -> build/lib/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-01-19 02:41:20,343 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests 2024-01-19 02:41:20,343 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests 2024-01-19 02:41:20,343 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,343 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/Makefile.old -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,343 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan.syms.extra -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,343 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_clock.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,344 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_clock.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,344 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_defs.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,344 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_dense_alloc.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,344 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_fd.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,345 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_fd.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,345 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,345 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,345 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.inc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,345 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_ignoreset.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,346 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_ignoreset.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,346 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interceptors.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,346 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interceptors.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,346 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,347 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,347 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_ann.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,347 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_ann.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,347 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_atomic.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,348 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_inl.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,348 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_java.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,348 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_java.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,349 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_malloc_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,349 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_md5.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,349 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mman.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,349 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mman.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,350 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutex.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,350 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutex.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,350 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutexset.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,350 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutexset.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,350 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_new_delete.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,351 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,351 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,351 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_mac.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,351 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_posix.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,352 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_windows.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,352 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_report.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,352 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_report.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,352 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,352 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,353 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_amd64.S -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,353 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_mutex.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,353 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_report.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,353 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_thread.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,354 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stack_trace.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,354 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stack_trace.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,354 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stat.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,354 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stat.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,355 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_suppressions.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,355 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_suppressions.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,355 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_symbolize.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,355 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_symbolize.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,355 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_sync.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,356 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_sync.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,356 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_trace.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,356 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_update_shadow_word_inl.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,356 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_vector.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,357 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-01-19 02:41:20,357 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/dd/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-01-19 02:41:20,357 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/dd/dd_interceptors.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-01-19 02:41:20,357 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/dd/dd_rtl.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-01-19 02:41:20,357 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/dd/dd_rtl.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-01-19 02:41:20,358 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-01-19 02:41:20,358 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/benchmarks/mini_bench_local.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-01-19 02:41:20,358 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/benchmarks/mini_bench_shared.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-01-19 02:41:20,358 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/benchmarks/start_many_threads.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-01-19 02:41:20,358 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/benchmarks/vts_many_threads_bench.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-01-19 02:41:20,359 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan/go 2024-01-19 02:41:20,359 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/go/build.bat -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/go 2024-01-19 02:41:20,359 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/go/buildgo.sh -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/go 2024-01-19 02:41:20,359 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/go/test.c -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/go 2024-01-19 02:41:20,359 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/go/tsan_go.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/go 2024-01-19 02:41:20,360 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,360 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,360 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_clock_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,360 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_dense_alloc_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,360 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_flags_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,361 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mman_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,361 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mutex_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,361 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mutexset_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,361 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_shadow_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,362 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_stack_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,362 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_sync_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,362 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_unit_test_main.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,362 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_vector_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,362 root INFO creating build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 02:41:20,362 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/CMakeLists.txt -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 02:41:20,363 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_bench.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 02:41:20,363 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_mop.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 02:41:20,363 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_mutex.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 02:41:20,363 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_posix.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 02:41:20,364 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_string.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 02:41:20,364 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 02:41:20,364 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test_util.h -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 02:41:20,364 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test_util_linux.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 02:41:20,364 root INFO copying pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_thread.cc -> build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 02:41:20,379 wheel INFO installing to build/bdist.linux-x86_64/wheel 2024-01-19 02:41:20,379 root INFO running install 2024-01-19 02:41:20,388 root INFO running install_lib 2024-01-19 02:41:20,390 root INFO creating build/bdist.linux-x86_64 2024-01-19 02:41:20,390 root INFO creating build/bdist.linux-x86_64/wheel 2024-01-19 02:41:20,390 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt 2024-01-19 02:41:20,391 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data 2024-01-19 02:41:20,391 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test 2024-01-19 02:41:20,391 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-01-19 02:41:20,391 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/lit.common.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-01-19 02:41:20,391 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/Unit 2024-01-19 02:41:20,392 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/Unit/lit.site.cfg.in -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/Unit 2024-01-19 02:41:20,392 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-01-19 02:41:20,392 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/lit.site.cfg.in -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common 2024-01-19 02:41:20,392 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:20,392 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-help.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:20,393 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/corelimit.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:20,393 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strpbrk.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:20,393 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/malloc_hook.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:20,393 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/print-stack-trace.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:20,393 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix 2024-01-19 02:41:20,393 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix/lit.local.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix 2024-01-19 02:41:20,394 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix/decorate_proc_maps.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix 2024-01-19 02:41:20,394 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strcspn.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:20,394 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strcasestr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:20,394 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-invalid.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:20,394 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strstr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:20,395 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strspn.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:20,395 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,395 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sanitizer_set_death_callback_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,395 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/fpe.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,395 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/soft_rss_limit_mb_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,396 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/hard_rss_limit_mb_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,396 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/ptrace.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,396 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sched_getparam.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,396 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/mlock_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,396 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/abort_on_error.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,397 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/timerfd.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,397 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/lit.local.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,397 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sem_init_glibc.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,397 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/getpass.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,397 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/assert.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,397 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/aligned_alloc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,398 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/signal_segv_handler.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,398 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/getpwnam_r_invalid_user.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,398 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/clock_gettime.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,398 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/open_memstream.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux 2024-01-19 02:41:20,398 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/pthread_mutexattr_get.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:20,399 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin 2024-01-19 02:41:20,399 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin/abort_on_error.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin 2024-01-19 02:41:20,399 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin/lit.local.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin 2024-01-19 02:41:20,399 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-include.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases 2024-01-19 02:41:20,399 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lit.common.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test 2024-01-19 02:41:20,400 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lit.common.configured.in -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test 2024-01-19 02:41:20,400 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:20,400 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/dump_labels.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:20,400 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/custom.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:20,400 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:20,401 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/lit.site.cfg.in -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:20,401 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/basic.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:20,401 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/flags.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:20,401 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/propagate.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:20,401 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/write_callback.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:20,401 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/label_count.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:20,402 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/lit.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:20,402 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/vararg.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:20,402 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/dfsan/Inputs 2024-01-19 02:41:20,402 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/Inputs/flags_abilist.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/dfsan/Inputs 2024-01-19 02:41:20,402 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/dfsan/fncall.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/dfsan 2024-01-19 02:41:20,403 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins 2024-01-19 02:41:20,403 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,403 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ucmpdi2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,403 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/udivdi3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,403 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/addvdi3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,404 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/multc3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,404 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/endianness.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,404 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/subtf3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,404 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/udivmodti4_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,426 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/nesf2vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,426 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/popcountti2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,426 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatundixf_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,426 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/unordsf2vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,427 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfdi_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,427 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfsf2vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,427 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/nedf2vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,427 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/letf2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,427 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatdisf_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,428 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floattisf_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,428 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/udivmodsi4_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,428 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/addsf3vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,428 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/negvsi2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,429 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/test -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,429 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/truncsfhf2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,429 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/muldi3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,429 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/udivmoddi4_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,433 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/parityti2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,433 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/comparedf2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,434 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfdi_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,434 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/unorddf2vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,434 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divsc3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,434 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/udivsi3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,434 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/gttf2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,435 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/lshrdi3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,435 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divdi3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,435 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/clear_cache_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,435 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/subsf3vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,435 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/eqsf2vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,435 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/gesf2vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,436 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/negvdi2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,436 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatundisf_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,436 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulsc3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,436 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/eqtf2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,436 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divtf3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,437 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ashrdi3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,437 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulvsi3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,437 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulvdi3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,437 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatunssisfvfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,438 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ashldi3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,438 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfsi_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,438 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/subvti3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,438 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 02:41:20,438 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_frsub_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 02:41:20,439 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cdcmple_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 02:41:20,439 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_drsub_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 02:41:20,439 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cfcmpeq_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 02:41:20,439 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/call_apsr.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 02:41:20,439 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cdcmpeq_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 02:41:20,439 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cfcmple_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 02:41:20,440 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/arm/call_apsr.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/arm 2024-01-19 02:41:20,440 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divdc3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,440 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/bswapdi2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,440 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/muldc3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,441 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ucmpti2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,441 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floattixf_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,441 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatsitf_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,441 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divsi3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,441 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ffsdi2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,442 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/negdi2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,442 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/gedf2vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,442 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfdi_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,442 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfsi_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,442 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/powidf2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,442 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divxc3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,443 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfti_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,443 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/moddi3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,443 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulxc3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,443 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntidf_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,444 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/eqdf2vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,444 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/subvsi3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,444 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/multf3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,444 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/popcountsi2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,444 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/paritydi2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,445 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/clzti2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,445 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/powisf2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,445 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/addtf3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,445 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/negdf2vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,445 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fp_test.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,446 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/comparesf2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,446 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatunsitf_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,446 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/subvdi3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,446 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatdixf_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,446 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/bswapsi2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,447 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatundidf_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,447 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ctzsi2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,447 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/extendhfsf2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,447 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfsf2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,447 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/powitf2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,448 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ltdf2vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,448 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixxfti_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,448 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulvti3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,448 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfdi_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,448 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/gtdf2vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,449 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/udivti3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,449 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/clzsi2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,449 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfti_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,449 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/trunctfsf2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,449 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfdi_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,450 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntixf_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,450 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulsf3vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,450 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/lttf2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,450 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/unordtf2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,450 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ashrti3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,451 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/clzdi2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,451 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/extendsftf2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,451 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfsivfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,451 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/gcc_personality_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,451 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfsivfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,452 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/absvdi2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,452 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfdi_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,452 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatsidfvfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,452 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divtc3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,452 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatditf_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,453 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatunssidfvfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,453 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/lshrti3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,453 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/extenddftf2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,453 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfsivfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,453 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/muldf3vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,454 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/trampoline_setup_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,454 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfsivfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,454 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/paritysi2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,454 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/lesf2vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,454 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfti_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,455 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/extebdsfdf2vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,455 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfsi_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,455 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/gcc_personality_test_helper.cxx -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,455 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/getf2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,455 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/negsf2vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,456 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/trunctfdf2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,456 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/absvsi2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,456 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntisf_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,456 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulosi4_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,456 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/cmpti2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,457 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/addvsi3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,457 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/negti2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,457 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ledf2vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,457 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divmodsi4_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,457 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ctzti2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,457 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfti_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,458 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfsi_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,458 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divdf3vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,458 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/absvti2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,458 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/enable_execute_stack_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,458 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatdidf_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,459 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/powixf2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,459 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/gtsf2vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,459 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/umodsi3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,459 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/umoddi3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,460 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixxfdi_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,460 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ashlti3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,460 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/modsi3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,460 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/popcountdi2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,460 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divsf3vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,461 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/mulodi4_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,461 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/divti3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,461 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ltsf2vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,461 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ffsti2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,461 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ctzdi2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,462 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfti_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,462 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfti_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,462 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfdi_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,462 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/modti3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,462 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/cmpdi2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,463 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/umodti3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,463 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/muloti4_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,463 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/multi3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,463 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/netf2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,463 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/adddf3vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,464 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatsisfvfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,464 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfti_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,464 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floatunditf_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,464 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/floattidf_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,464 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfhf2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,465 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/addvti3_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,465 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfsi_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,465 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,465 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/test -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,465 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qdiv_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,466 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/fixtfdi_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,466 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qadd_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,466 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/DD.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,467 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qsub_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,467 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatditf_test.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,470 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatditf_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,471 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatunditf_test.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,474 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qmul_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,474 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatunditf_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit/ppc 2024-01-19 02:41:20,475 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/negvti2_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,475 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/Unit/subdf3vfp_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/Unit 2024-01-19 02:41:20,475 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,475 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/floatdixf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,475 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/lshrdi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,476 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/ashldi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,476 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/floatundisf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,476 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/floatdisf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,476 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/time -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,477 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/ashrdi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,477 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/divdi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,477 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/floatundidf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,477 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/udivdi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,477 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/muldi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,477 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/floatundixf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,478 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/moddi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,478 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/umoddi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,478 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/floatdidf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,478 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/timing.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,478 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/modsi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,479 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/builtins/timing/negdi2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/builtins/timing 2024-01-19 02:41:20,479 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test 2024-01-19 02:41:20,479 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan 2024-01-19 02:41:20,479 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/lit.common.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan 2024-01-19 02:41:20,479 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan 2024-01-19 02:41:20,480 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/lit.site.cfg.in -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan 2024-01-19 02:41:20,480 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,480 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/disabler.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,480 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/print_suppressions.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,480 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/new_array_with_dtor_0.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,480 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/do_leak_check_override.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,481 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/suppressions_file.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,481 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_after_return.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,481 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/ignore_object_errors.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,481 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/ignore_object.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,481 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/fork_threaded.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,482 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_globals_initialized.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,482 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/leak_check_at_exit.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,482 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/leak_check_before_thread_started.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,482 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/disabler_in_tsd_destructor.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,482 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/cleanup_in_tsd_destructor.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,483 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_pthread_specific_dynamic.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,483 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/register_root_region.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,483 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_stacks.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,483 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_globals_uninitialized.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,483 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/recoverable_leak_check.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,483 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_unaligned.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,484 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/suppressions_default.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,484 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/sanity_check_pure_c.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,484 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_stacks_threaded.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,484 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/pointer_to_self.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,484 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_pthread_specific_static.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,485 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/fork.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,485 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/large_allocation_leak.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,485 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/swapcontext.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,485 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_dynamic.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,485 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/high_allocator_contention.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,486 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_registers.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,486 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/link_turned_off.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,486 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/stale_stack_leak.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,486 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_poisoned_asan.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,486 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_static.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/lsan/TestCases 2024-01-19 02:41:20,487 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:20,487 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-dlopen.test -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:20,487 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-dynamic-one-shared.test -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:20,487 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename-with-env.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:20,487 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/gcc-flag-compatibility.test -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:20,487 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:20,488 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/lit.site.cfg.in -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:20,488 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-basic.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:20,488 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename-then-reset-default.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:20,488 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-write-file-atexit-explicitly.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:20,488 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-write-file-only.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:20,489 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-dynamic-two-shared.test -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:20,489 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-reset-counters.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:20,489 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-write-file.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:20,489 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:20,489 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/lit.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:20,490 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-without-libc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:20,490 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-set-filename-then-reset-default.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:20,490 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 02:41:20,490 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-b.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 02:41:20,490 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-func2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 02:41:20,490 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-header.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 02:41:20,491 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-func.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 02:41:20,491 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-a.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 02:41:20,491 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/gcc-flag-compatibility.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 02:41:20,491 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-main.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 02:41:20,491 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-main.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile/Inputs 2024-01-19 02:41:20,492 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/profile/instrprof-set-filename.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/profile 2024-01-19 02:41:20,492 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,492 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/strerror_r-non-gnu.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,492 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-member.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,492 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/icmp_slt_allones.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,493 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/no_sanitize_memory_prop.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,493 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/pthread_getattr_np_deadlock.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,493 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/test.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,493 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Unit 2024-01-19 02:41:20,493 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Unit/lit.site.cfg.in -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Unit 2024-01-19 02:41:20,493 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/print_stats.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,494 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/mktime.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,494 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/ioctl.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,494 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/msan_print_shadow2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,494 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-multiple-inheritance.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,494 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/chained_origin_memcpy.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,495 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/realloc-origin.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,495 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/no_sanitize_memory.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,495 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/default_blacklist.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,495 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_null.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,495 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/allocator_returns_null.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,496 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/readdir64.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,496 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/use-after-free.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,496 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/getaddrinfo.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,496 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dso-origin.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,496 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/rand_r.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,497 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/chained_origin_limits.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,497 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/inline.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,497 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/stack-origin2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,497 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,497 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/getc_unlocked.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,498 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/lit.site.cfg.in -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,498 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/stack-origin.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,498 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/ftime.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,498 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/msan_dump_shadow.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,498 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/memcmp_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,498 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/sigwait.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,499 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/setlocale.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,499 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-bit-fields.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,499 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/mul_by_const.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,499 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/unpoison_string.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,499 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/heap-origin.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,500 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/coverage-levels.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,500 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/insertvalue_origin.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,500 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/chained_origin.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,500 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/msan_print_shadow.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,500 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-trivial-class-members.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,501 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-multiple-inheritance-nontrivial-class-members.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,501 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-vtable.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,501 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/select_origin.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,501 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/origin-store-long.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,501 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/sync_lock_set_and_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,501 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/tsearch.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,502 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/cxa_atexit.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,502 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/mmap.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,502 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dlerror.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,502 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-base-access.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,502 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/getaddrinfo-positive.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,503 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/vector_select.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,503 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/select.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,503 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/ioctl_custom.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,503 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/chained_origin_with_signals.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,503 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/poison_in_free.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,504 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/c-strdup.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,504 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/vector_cvt.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,504 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/fork.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,504 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/realloc-large-origin.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,504 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/iconv.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,505 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/check_mem_is_initialized.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,505 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/param_tls_limit.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,505 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/strxfrm.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,505 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/tzset.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,505 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/use-after-dtor.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,505 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/pthread_setcancelstate.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,506 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/select_float_origin.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,506 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-derived-class.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,506 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/msan_copy_shadow.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,506 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/lit.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,506 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/ifaddrs.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,507 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/mmap_below_shadow.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,507 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/errno.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,507 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/report-demangling.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,507 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/keep-going.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,507 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/msan_check_mem_is_initialized.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,508 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/initgroups.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,508 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/getline.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,508 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/death-callback.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,508 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/strlen_of_shadow.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,508 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-trivial.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,508 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/keep-going-dso.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,509 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/sigwaitinfo.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,509 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-01-19 02:41:20,509 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_test_root/bbb -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-01-19 02:41:20,509 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_test_root/aab -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-01-19 02:41:20,509 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/scandir_test_root/aaa -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/scandir_test_root 2024-01-19 02:41:20,510 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/textdomain.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,510 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/times.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,510 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/chained_origin_empty_stack.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,510 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtls_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,510 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/if_indextoname.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,511 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,511 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/fopencookie.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,511 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/ioctl_sound.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,511 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,511 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc_bytes.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,511 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc_string.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,512 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/lit.local.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,512 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_altdirfunc.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,512 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,512 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/syscalls.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,512 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/forkpty.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,513 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/mallinfo.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,513 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/mincore.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,513 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux/xattr_test_root 2024-01-19 02:41:20,513 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/xattr_test_root/a -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux/xattr_test_root 2024-01-19 02:41:20,513 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/tcgetattr.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,513 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/obstack.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,514 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/process_vm_readv.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,514 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-01-19 02:41:20,514 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/aa -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-01-19 02:41:20,514 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/ab -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-01-19 02:41:20,514 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/ba -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root 2024-01-19 02:41:20,515 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/getresid.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,515 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/glob_nomatch.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,515 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/Linux/xattr.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan/Linux 2024-01-19 02:41:20,515 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/unaligned_read_origin.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,515 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/backtrace.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,516 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/sem_getvalue.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,516 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/scandir.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,516 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/dtor-vtable-multiple-inheritance.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,516 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/allocator_mapping.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,516 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/signal_stress_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,516 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/msan_print_shadow3.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,517 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/msan/tls_reuse.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/msan 2024-01-19 02:41:20,517 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:20,517 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/buffer-copy-vla.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:20,517 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/buffer-copy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:20,517 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:20,518 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/lit.site.cfg.in -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:20,518 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/overflow.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:20,518 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/pthread.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:20,518 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/lto.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:20,518 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/utils.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:20,519 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/lit.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:20,519 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/pthread-cleanup.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:20,519 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/safestack/init.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/safestack 2024-01-19 02:41:20,519 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan 2024-01-19 02:41:20,519 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/lit.common.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan 2024-01-19 02:41:20,519 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan 2024-01-19 02:41:20,520 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/lit.site.cfg.in -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan 2024-01-19 02:41:20,520 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases 2024-01-19 02:41:20,520 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Float 2024-01-19 02:41:20,520 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Float/cast-overflow.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Float 2024-01-19 02:41:20,520 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 02:41:20,521 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function 2024-01-19 02:41:20,521 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function/function.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function 2024-01-19 02:41:20,521 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function/lit.local.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function 2024-01-19 02:41:20,521 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 02:41:20,521 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/null.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 02:41:20,522 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/misaligned.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 02:41:20,522 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr-virtual-base-construction.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 02:41:20,522 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr-virtual-base.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck 2024-01-19 02:41:20,522 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,522 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/sub-overflow.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,522 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/shift.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,523 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/div-zero.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,523 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/uincdec-overflow.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,523 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/mul-overflow.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,523 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/usub-overflow.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,523 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/summary.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,524 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/incdec-overflow.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,524 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/add-overflow.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,524 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/div-overflow.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,524 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/no-recover.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,524 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/negate-overflow.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,525 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/umul-overflow.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,525 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/uadd-overflow.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer 2024-01-19 02:41:20,525 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,525 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/deduplication.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,525 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/enum.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,526 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/log-path_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,526 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/unreachable.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,526 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/bounds.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,526 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/missing_return.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,526 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/nonnull.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,526 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/coverage-levels.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,527 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/nonnull-arg.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,527 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/bool.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,527 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux 2024-01-19 02:41:20,527 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux/ubsan_options.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux 2024-01-19 02:41:20,527 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux/lit.local.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux 2024-01-19 02:41:20,528 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/vla.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc 2024-01-19 02:41:20,528 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:20,528 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/nvcall.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:20,528 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/bad-cast.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:20,528 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:20,529 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/lit.site.cfg.in -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:20,529 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/cfi/icall 2024-01-19 02:41:20,529 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/icall/lit.local.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/cfi/icall 2024-01-19 02:41:20,529 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/icall/external-call.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/cfi/icall 2024-01-19 02:41:20,529 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/icall/bad-signature.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/cfi/icall 2024-01-19 02:41:20,529 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/simple-fail.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:20,530 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/multiple-inheritance.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:20,530 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/anon-namespace.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:20,530 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/vdtor.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:20,530 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/simple-pass.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:20,530 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/sibling.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:20,531 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/overwrite.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:20,531 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/utils.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:20,531 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/base-derived-destructor.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:20,531 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/lit.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:20,531 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/README.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:20,532 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/cfi/create-derivers.test -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/cfi 2024-01-19 02:41:20,532 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,532 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/josh.C -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,532 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/hasdescriptor.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,532 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/c99.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,532 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/small-struct.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,533 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/copyconstructor.C -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,533 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefstruct.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,533 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/blockimport.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,533 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/recursiveassign.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,533 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopyinner.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,534 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/large-struct.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,534 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/copy-block-literal-rdar6439600.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,534 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/cast.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,534 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/varargs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,534 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopystack.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,535 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/objectRRGC.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,535 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/testfilerunner.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,535 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/objectassign.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,535 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefaccess.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,535 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,535 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/flagsisa.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,536 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/shorthandexpression.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,536 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/dispatch_call_Block_with_release.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,536 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopyint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,536 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/macro.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,536 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/variadic.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,537 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/rettypepromotion.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,537 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/nullblockisa.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,537 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/recursive-test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,537 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopycopy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,537 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/dispatch_async.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,538 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6396238.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,538 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/k-and-r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,538 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/nestedimport.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,538 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/varargs-bad-assign.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,538 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/localisglobal.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,538 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/orbars.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,539 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/structmember.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,539 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/recursive-block.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,539 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/copynull.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,539 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/globalexpression.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,539 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/block-static.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,540 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefsanity.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,540 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/returnfunctionptr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,540 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/modglobal.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,540 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/voidarg.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,540 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6414583.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,541 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/testfilerunner.m -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,541 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/constassign.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,541 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6405500.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,541 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/reference.C -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,541 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/fail.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,542 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/makefile -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,542 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/sizeof.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,542 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/BlocksRuntime/goto.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/BlocksRuntime 2024-01-19 02:41:20,542 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan 2024-01-19 02:41:20,542 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/Unit 2024-01-19 02:41:20,542 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/Unit/lit.site.cfg.in -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/Unit 2024-01-19 02:41:20,543 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan 2024-01-19 02:41:20,543 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/lit.site.cfg.in -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan 2024-01-19 02:41:20,543 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,543 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/dump_instruction_bytes.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,543 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/interface_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,544 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/init-order-atexit.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,544 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-constexpr.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,544 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strtol_strict.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,544 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_overflow_right.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,544 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_overflow_partial.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,545 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/invalid-free.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,545 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/throw_catch.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,545 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strncpy-overflow.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,545 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/debug_ppc64_mapping.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,545 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/deep_stack_uaf.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,546 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_safe_access.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,546 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/intra-object-overflow.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,546 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strtoll_strict.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,546 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/memset_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,546 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-blacklist.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,547 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/zero_page_pc.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,547 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/describe_address.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,547 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/print_summary.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,547 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/vla_condition_overflow.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,547 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/printf-4.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,548 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_big_alignment.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,548 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-exec-relative-location.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,548 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/contiguous_container_crash.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,548 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/default_blacklist.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,548 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_loop_unpoisoning.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,548 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/allocator_returns_null.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,549 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-free.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,549 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk_strict.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,549 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_detect_custom_size_.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,549 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/heavy_uar_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,549 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/uar_and_exceptions.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,550 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-library.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,550 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strstr-1.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,550 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,550 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-bug-extra2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,550 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist-extra.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,551 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/init-order-atexit-extra.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,551 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-constexpr-extra.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,551 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/echo-env.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,551 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist-extra2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,551 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/lit.local.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,551 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/blacklist-extra.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,552 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-bug-extra.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,552 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-nobug-extra.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,552 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers 2024-01-19 02:41:20,552 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/inline.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,552 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strspn_strict.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,553 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/global-overflow.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,553 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/throw_invoke_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,553 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strstr-2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,553 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/log-path_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,553 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,554 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_strdup.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,554 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_strlen.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,554 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_simple.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,554 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/throw_catch.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,554 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_large_function.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,555 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_reuse.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,555 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/windows_h.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,555 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_strlen.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,555 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_right_oob.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,555 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_left_oob.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,555 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_seh.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,556 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_malloc_uaf.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,556 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/use_after_realloc.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,556 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/global_const_string.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,556 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/use_after_return_linkage.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,556 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_right_oob.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,557 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/symbols_path.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,557 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_with_dtor_left_oob.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,557 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_noreturn.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,557 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_control_c.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,557 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_left_oob.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,558 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_null_deref.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,558 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_after_syminitialize.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,558 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memset.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,558 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/global_const_string_oob.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,558 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_memcpy.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,558 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_report_globals_symbolization_at_startup.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,559 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/seh.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,559 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/allocators_sanity.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,559 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/longjmp.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,559 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_globals_vs_freelibrary.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,559 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_left_oob.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,560 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_sanity.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,560 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_cerr.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,560 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/hello_world.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,560 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/beginthreadex.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,560 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stress.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,561 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/double_operator_delete.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,561 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/lit.local.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,561 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/null_deref.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,561 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/null_deref_multiple_dlls.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,561 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_right_oob.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,562 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_thread_stack_array_left_oob.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,562 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_left_oob.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,562 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_left_oob.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,562 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/queue_user_work_item_report.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,562 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_right_oob.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,562 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/default_options.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,563 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_use_after_return.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,563 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_uaf.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,563 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/wrong_downcast_on_heap.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,563 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/aligned_mallocs.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,563 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_operator_array_new_left_oob.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,564 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/demangled_names.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,564 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_uaf.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,564 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_uaf.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,564 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_operator_array_new_with_dtor_left_oob.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,564 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bitfield.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,565 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_allocators_sanity.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,565 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/queue_user_work_item.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,565 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_array_left_oob.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,565 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/iostream_sbo.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,565 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memcpy_indirect.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,566 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_and_lib.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,566 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/crt_initializers.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,566 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_malloc_left_oob.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,566 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_right_oob.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,566 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memcpy.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,566 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_uaf.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,567 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memchr.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,567 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_host.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,567 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/free_hook_realloc.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,567 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_uaf.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,567 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/shadow_mapping_failure.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,568 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_left_oob.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,568 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_right_oob.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,568 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/fuse-lld.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,568 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/unsymbolized.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,568 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/double_free.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,569 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_delete_wrong_argument.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,569 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_poison_unpoison.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,569 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/wrong_downcast_on_stack.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,569 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_aligned_mallocs.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,569 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bitfield_uaf.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,570 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bind_io_completion_callback.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,570 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/on_error_callback.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,570 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_stack_use_after_return.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,570 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/coverage-basic.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,570 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_array_right_oob.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,571 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_suspended.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,571 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/oom.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,571 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_globals_reload_dll.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Windows 2024-01-19 02:41:20,571 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/asan_options-help.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,571 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/max_redzone.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,571 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/huge_negative_hea_oob.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,572 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Android 2024-01-19 02:41:20,572 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Android/lit.local.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Android 2024-01-19 02:41:20,572 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Android/coverage-android.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Android 2024-01-19 02:41:20,572 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/deep_tail_call.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,572 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/vla_loop_overfow.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,573 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/memcmp_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,573 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/lsan_annotations.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,573 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/unaligned_loads_and_stores.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,573 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/atexit_stats.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,573 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk-2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,574 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/verbose-log-path_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,574 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-and-lsan.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,574 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_underflow_left.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,574 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/debug_report.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,574 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_instruments_all_paddings.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,575 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/longjmp.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,575 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/debug_locate.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,575 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr-2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,575 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/mmap_limit_mb.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,575 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/poison_partial.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,575 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-levels.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,576 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr-1.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,576 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/null_deref.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,576 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-nobug.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,576 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn-2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,576 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/heap-overflow-large.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,577 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-inlined.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,577 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_vla_interact.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,577 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/large_func_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,577 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/force_inline_opt0.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,577 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strspn-2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,578 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/global-location.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,578 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/default_options.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,578 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/malloc_fill.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,578 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/throw_call_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,578 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/sanity_check_pure_c.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,579 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/stack-oob-frames.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,579 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-dtor-order.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,579 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/partial_right.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,579 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-temp.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,579 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcat_strict.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,580 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,580 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,580 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct-large.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,580 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asan-symbolize-sanity-test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,580 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/allow_user_segv.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,580 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-fork.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,581 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/ioctl.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,581 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/readv.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,581 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/stack-use-after-return.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,581 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/log_path_fork_test.cc.disabled -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,581 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,582 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,582 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/gc-test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,582 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/dlclose-test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,582 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_uaf_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,582 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-sandboxing.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,583 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait4.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,583 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,583 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/tsd_dtor_leak.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,583 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/large_allocator_unpoisons_on_free.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,583 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/waitid.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,584 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/lit.local.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,584 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/init-order-pthread-create.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,584 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-maybe-open-file.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,584 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/interception-in-shared-lib-test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,584 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/shared-lib-test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,584 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asan-symbolize-bad-path.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,585 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/assign_large_valloc_to_global.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,585 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/stack-overflow.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,585 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-module-unloaded.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,585 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-caller-callee.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,585 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,586 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asprintf.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,586 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/deep_call_stack.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,586 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/current_allocated_bytes.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,586 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/free_hook_realloc.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,586 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct-activation.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,587 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/closed-fds.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,587 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait3.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,587 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/start-deactivated.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,587 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-01-19 02:41:20,587 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/aa -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-01-19 02:41:20,588 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/ab -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-01-19 02:41:20,588 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/ba -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root 2024-01-19 02:41:20,588 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/strerror_r_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,588 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-fork-direct.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,588 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/deep_thread_stack.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,588 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/freopen.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,589 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_with_new_from_class.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Posix 2024-01-19 02:41:20,589 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/no_asan_gen_globals.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,589 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/interception_failure_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,589 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/stack-buffer-overflow.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,589 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr_strict.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,590 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/stack-frame-demangle.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,590 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-poison.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,590 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-order-pcs.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,590 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-tracing.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,590 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-reset.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,591 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcmp_strict.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,591 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/blacklist.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,591 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strncat_strict.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,591 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/printf-2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,591 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strstr_strict.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,592 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strdup_oob_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,592 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/printf-1.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,592 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/atoll_strict.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,592 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-interceptor.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,592 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/debug_stacks.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,593 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-delete.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,593 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-free-right.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,593 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-nobug.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,593 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/speculative_load.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,593 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/atol_strict.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,593 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-bug.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,594 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/printf-3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,594 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-caller-callee-total-count.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,594 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk-1.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,594 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strchr_strict.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,594 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/heap-overflow.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,595 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/memcmp_strict_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,595 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn-1.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,595 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/double-free.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,595 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/global-demangle.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,595 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn_strict.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,596 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,596 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/printf-5.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,596 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/sleep_before_dying.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,596 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-function.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,596 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/atoi_strict.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,597 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/vla_chrome_testcase.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,597 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/stack-buffer-overflow-with-position.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,597 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/time_interceptor.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,597 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/debug_mapping.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,597 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,598 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/clone_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,598 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/read_binary_name_regtest.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,598 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/static_tls.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,598 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/malloc-in-qsort.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,599 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/function-sections-are-bad.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,599 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stack-overflow-sigbus.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,599 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/unpoison_tls.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,599 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/ptrace.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,599 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/quarantine_size_mb.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,600 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stress_dtls.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,600 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/kernel-area.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,600 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_default_suppressions.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,600 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interface_symbols_linux.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,600 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_rt_confict_test-1.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,601 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/uar_signals.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,601 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/leak_check_segv.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,601 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_preload_test-1.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,601 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_readdir_r_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,601 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/init-order-dlopen.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,602 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/activation-options.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,602 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/abort_on_error.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,602 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/init_fini_sections.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,602 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/lit.local.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,602 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/shmctl.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,603 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/pthread_create_version.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,603 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_prelink_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,603 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/malloc_delete_mismatch.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,603 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/overflow-in-qsort.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,603 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan-asm-stacktrace-test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,603 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_malloc_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,604 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/syscalls.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,604 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/nohugepage_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,604 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/coverage-missing.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,604 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/sized_delete_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,604 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/signal_during_stop_the_world.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,605 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/clang_gcc_abi.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,605 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/preinit_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,605 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/leak.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,605 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/swapcontext_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,605 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/initialization-bug-any-order.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,606 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_rt_confict_test-2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,606 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,606 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_dlopen_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,606 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/odr-violation.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,606 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_preload_test-2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,607 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stack-trace-dlclose.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,607 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/rlimit_mmap_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,607 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/globals-gc-sections.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Linux 2024-01-19 02:41:20,607 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strip_path_prefix.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,607 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-disabled.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,608 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/on_error_callback.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,608 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/asan_and_llvm_coverage_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,608 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/frexp_interceptor.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,608 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/malloc_context_size.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,608 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/contiguous_container.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,609 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,609 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dyld_insert_libraries_remove.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,609 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/atos-symbolizer-dyld-root-path.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,609 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/interface_symbols_darwin.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,609 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/suppressions-darwin.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,609 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/malloc_set_zone_name-mprotect.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,610 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/linked-only.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,610 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/objc-odr.mm -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,610 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/malloc_zone-protected.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,610 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/sandbox-symbolizer.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,610 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/crashlog-stacktraces.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,611 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/abort_on_error.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,611 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/cstring_literals_regtest.mm -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,611 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/unset-insert-libraries-on-exec.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,611 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/lit.local.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,611 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dladdr-demangling.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,612 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/empty-section.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,612 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/address-range-limit.mm -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,612 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/atos-symbolizer.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,612 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/asan_gen_prefixes.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,612 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/mixing-global-constructors.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,612 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/suppressions-sandbox.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,613 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/reexec-insert-libraries-env.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,613 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dyld_insert_libraries_reexec.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin 2024-01-19 02:41:20,613 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/TestCases/strspn-1.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/TestCases 2024-01-19 02:41:20,613 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/lit.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan 2024-01-19 02:41:20,614 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-01-19 02:41:20,614 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/android_commands/android_common.py -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-01-19 02:41:20,614 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/android_commands/android_run.py -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-01-19 02:41:20,614 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/asan/android_commands/android_compile.py -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/asan/android_commands 2024-01-19 02:41:20,614 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,615 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/cond_cancel.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,615 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_ten_mutexes.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,615 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/inlined_memcpy_race2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,615 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_barrier2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,615 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_race_move.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,615 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/barrier.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,616 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/inlined_memcpy_race.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,616 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/getline_nohang.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,616 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib_lib.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,616 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/test.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,616 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_lock_rec_race.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,617 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_race.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,617 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/vptr_benign_race.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,617 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib3.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,617 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan/Unit 2024-01-19 02:41:20,617 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/Unit/lit.site.cfg.in -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan/Unit 2024-01-19 02:41:20,618 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atomic_race.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,618 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mop_with_offset2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,618 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/static_init1.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,618 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/global_race.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,618 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppressions_race2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,618 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/free_race2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,619 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutex_bad_unlock.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,619 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_close_norace.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,619 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,619 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/aligned_vs_unaligned_race.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,619 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_lock.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,620 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_read.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,620 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_acquire_release.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,620 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/deep_stack1.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,620 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/allocator_returns_null.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,620 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_sync.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,621 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore3.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,621 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppressions_global.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,621 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atexit2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,621 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_top_suppression1.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,621 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/restore_stack.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,622 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_malloc.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,622 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/real_deadlock_detector_stress_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,622 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset7.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,622 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_malloc.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,622 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib1.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,622 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_move_overlap.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,623 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/tsan-vs-gvn.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,623 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutex_bad_read_unlock.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,623 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_lock_move.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,623 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_errno.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,623 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/global_race3.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,624 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atomic_norace.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,624 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_leak.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,624 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,624 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/vfork.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,624 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/lit.site.cfg.in -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,625 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/map32bit.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,625 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_acquire_only.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,625 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_single_writer.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,625 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atexit.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,625 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_free.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,625 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_name.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,626 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_rwlock.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,626 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/annotate_happens_before.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,626 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_recursive.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,626 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/free_race.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,626 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/tls_race.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,627 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fork_multithreaded3.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,627 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_heap.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,627 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/longjmp2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,627 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib1.cc.supp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,627 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mmap_stress.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,628 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib0.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,628 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_sync.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,628 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/stack_race.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,628 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/must_deadlock.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,628 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/deadlock_detector_stress_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,629 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,629 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_move_overlap_race.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,629 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan/libcxx 2024-01-19 02:41:20,629 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/libcxx/std_shared_ptr.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan/libcxx 2024-01-19 02:41:20,629 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/libcxx/lit.local.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan/libcxx 2024-01-19 02:41:20,630 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/longjmp.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,630 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/cond.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,630 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_heap_init.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,630 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_puts.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,630 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_location.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,631 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_barrier.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,631 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/dl_iterate_phdr.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,631 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/large_malloc_meta.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,631 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppressions_race2.cc.supp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,631 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset1.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,631 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_thread.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,632 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset4.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,632 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_leak3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,632 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib0.cc.supp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,632 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppress_same_address.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,632 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_name2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,633 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset5.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,633 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_reset.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,633 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/stack_race2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,633 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_socket_norace.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,633 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,634 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_rwmutex.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,634 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/cond_version.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,634 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppress_same_stacks.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,634 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mmap_large.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,634 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset6.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,635 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/virtual_inheritance_compile_bug.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,635 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_longjmp.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,635 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/default_options.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,635 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutex_bad_read_lock.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,635 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/simple_stack.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,635 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_dup_norace2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,636 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/static_init3.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,636 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fork_atexit.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,636 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/stack_sync_reuse.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,636 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/dlclose.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,636 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/tls_race2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,637 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/write_in_reader_lock.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,637 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/deflake.bash -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,637 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/cond_race.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,637 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_alloc.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,637 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/memcmp_race.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,638 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/sleep_sync.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,638 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/sunrpc.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,638 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib2.cc.supp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,638 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_close_norace2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,638 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_socket_connect_norace.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,639 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race4.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,639 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_socketpair_norace.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,639 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/unaligned_race.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,639 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_mutex.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,639 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_dup_norace.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,639 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/pthread_atfork_deadlock.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,640 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/tiny_race.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,640 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_cond.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,640 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/simple_stack2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,640 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_leak4.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,640 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppressions_race.cc.supp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,641 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/interface_atomic_test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,641 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_leak2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,641 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/simple_race.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,641 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/longjmp4.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,641 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_race_pc.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,642 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/heap_race.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,642 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/blacklist.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,642 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/static_init5.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,642 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atomic_free.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,642 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_detach2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,643 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/global_race2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,643 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fork_deadlock.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,643 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_with_finished_thread.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,643 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/malloc_stack.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,643 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_stdout_race.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,644 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/static_init6.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,644 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/longjmp3.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,644 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/load_shared_lib.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,644 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_release_only.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,644 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/printf-1.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,645 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset8.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,645 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fork_multithreaded.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,645 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mop_with_offset.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,645 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race3.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,645 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_pipe_race.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,646 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atomic_free3.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,646 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,646 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/memcpy_race.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,646 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_mutex2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,646 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_leak5.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,647 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib3.cc.supp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,647 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_pipe_norace.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,647 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/sigsuspend.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,647 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_finalizer.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,647 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/lit.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,648 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/blacklist2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,648 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_lock_rec.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,648 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/fd_dup_race.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,648 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/malloc_overflow.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,648 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/setuid2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,648 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/cond_destruction.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,649 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/sleep_sync2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,649 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/unaligned_norace.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,649 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutex_destroy_locked.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,649 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutex_cycle2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,649 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/test_output.sh -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,650 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/simple_race.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,650 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppressions_global.cc.supp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,650 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset3.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,650 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,650 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_write.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,651 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/benign_race.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,651 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_stress.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,651 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/setuid.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,651 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_detach.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,651 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_race.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,652 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/free_race.c.supp -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,652 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atomic_stack.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,652 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/signal_write.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,652 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_speculative_load.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,652 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/static_init2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,653 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/suppressions_race.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,653 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_top_suppression.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,653 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_local_mutex.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,653 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 02:41:20,653 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux/user_fopen.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 02:41:20,653 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux/mutex_robust2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 02:41:20,654 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux/user_malloc.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 02:41:20,654 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux/lit.local.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 02:41:20,654 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/Linux/mutex_robust.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan/Linux 2024-01-19 02:41:20,654 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/ignore_lib2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,654 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_volatile.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,655 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutexset2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,655 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,655 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mop1.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,655 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/race_on_mutex.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,655 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/halt_on_error.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,656 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/java_symbolization.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,656 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/atomic_free2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,656 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/bench_shadow_flush.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,656 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/static_init4.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,656 root INFO copying build/lib/pythondata_software_compiler_rt/data/test/tsan/mutex_double_lock.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/test/tsan 2024-01-19 02:41:20,657 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/unittests 2024-01-19 02:41:20,657 root INFO copying build/lib/pythondata_software_compiler_rt/data/unittests/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/unittests 2024-01-19 02:41:20,657 root INFO copying build/lib/pythondata_software_compiler_rt/data/unittests/lit.common.unit.configured.in -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/unittests 2024-01-19 02:41:20,657 root INFO copying build/lib/pythondata_software_compiler_rt/data/unittests/lit.common.unit.cfg -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/unittests 2024-01-19 02:41:20,657 root INFO copying build/lib/pythondata_software_compiler_rt/data/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data 2024-01-19 02:41:20,658 root INFO copying build/lib/pythondata_software_compiler_rt/data/CREDITS.TXT -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data 2024-01-19 02:41:20,658 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/include 2024-01-19 02:41:20,658 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/include 2024-01-19 02:41:20,658 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 02:41:20,658 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/common_interface_defs.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 02:41:20,659 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/coverage_interface.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 02:41:20,659 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/allocator_interface.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 02:41:20,659 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/tsan_interface_atomic.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 02:41:20,659 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/dfsan_interface.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 02:41:20,659 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/lsan_interface.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 02:41:20,660 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/asan_interface.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 02:41:20,660 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/linux_syscall_hooks.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 02:41:20,660 root INFO copying build/lib/pythondata_software_compiler_rt/data/include/sanitizer/msan_interface.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/include/sanitizer 2024-01-19 02:41:20,661 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/www 2024-01-19 02:41:20,661 root INFO copying build/lib/pythondata_software_compiler_rt/data/www/content.css -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/www 2024-01-19 02:41:20,661 root INFO copying build/lib/pythondata_software_compiler_rt/data/www/index.html -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/www 2024-01-19 02:41:20,661 root INFO copying build/lib/pythondata_software_compiler_rt/data/www/menu.css -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/www 2024-01-19 02:41:20,661 root INFO copying build/lib/pythondata_software_compiler_rt/data/www/menu.html.incl -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/www 2024-01-19 02:41:20,661 root INFO copying build/lib/pythondata_software_compiler_rt/data/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data 2024-01-19 02:41:20,662 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/make 2024-01-19 02:41:20,662 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/lib_util.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/make 2024-01-19 02:41:20,662 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-01-19 02:41:20,662 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/clang_linux_test_input.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-01-19 02:41:20,662 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/clang_macho_embedded_test_input.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-01-19 02:41:20,663 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/clang_darwin_test_input.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-01-19 02:41:20,663 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/clang_darwin.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-01-19 02:41:20,663 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/multi_arch.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-01-19 02:41:20,663 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/darwin_bni.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-01-19 02:41:20,663 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/clang_macho_embedded.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-01-19 02:41:20,664 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/platform/clang_linux.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/make/platform 2024-01-19 02:41:20,664 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/make/test 2024-01-19 02:41:20,664 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/test/test-util.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/make/test 2024-01-19 02:41:20,664 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/lib_platforms.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/make 2024-01-19 02:41:20,664 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/lib_info.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/make 2024-01-19 02:41:20,664 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/options.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/make 2024-01-19 02:41:20,665 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/filter-inputs -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/make 2024-01-19 02:41:20,665 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/subdir.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/make 2024-01-19 02:41:20,665 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/config.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/make 2024-01-19 02:41:20,665 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/AppleBI.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/make 2024-01-19 02:41:20,665 root INFO copying build/lib/pythondata_software_compiler_rt/data/make/util.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/make 2024-01-19 02:41:20,666 root INFO copying build/lib/pythondata_software_compiler_rt/data/CODE_OWNERS.TXT -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data 2024-01-19 02:41:20,666 root INFO copying build/lib/pythondata_software_compiler_rt/data/.arcconfig -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data 2024-01-19 02:41:20,666 root INFO copying build/lib/pythondata_software_compiler_rt/data/README.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data 2024-01-19 02:41:20,666 root INFO copying build/lib/pythondata_software_compiler_rt/data/LICENSE.TXT -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data 2024-01-19 02:41:20,666 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/cmake 2024-01-19 02:41:20,667 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 02:41:20,667 root INFO copying build/lib/pythondata_software_compiler_rt/data/cmake/Modules/AddCompilerRT.cmake -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 02:41:20,667 root INFO copying build/lib/pythondata_software_compiler_rt/data/cmake/Modules/SanitizerUtils.cmake -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 02:41:20,667 root INFO copying build/lib/pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTLink.cmake -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 02:41:20,667 root INFO copying build/lib/pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTCompile.cmake -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 02:41:20,668 root INFO copying build/lib/pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTUtils.cmake -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 02:41:20,668 root INFO copying build/lib/pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTDarwinUtils.cmake -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/cmake/Modules 2024-01-19 02:41:20,668 root INFO copying build/lib/pythondata_software_compiler_rt/data/cmake/config-ix.cmake -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/cmake 2024-01-19 02:41:20,668 root INFO copying build/lib/pythondata_software_compiler_rt/data/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data 2024-01-19 02:41:20,668 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib 2024-01-19 02:41:20,669 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,669 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,669 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepotbase.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,669 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_linux_x86_64.inc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,669 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector2.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,670 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mutex.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,670 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stoptheworld.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,670 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_win.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,670 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_persistent_allocator.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,670 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mac.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,671 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_freebsd.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,671 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,671 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_posix.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,671 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_tls_get_addr.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,672 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,672 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,672 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_config.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,672 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_main.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,672 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_nolibc_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,672 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_libc_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,673 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_common_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,673 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stoptheworld_testlib.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,673 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_flags_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,673 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_linux_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,674 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_pthread_wrappers.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,674 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,674 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_procmaps_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,674 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stacktrace_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,674 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_ioctl_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,674 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/standalone_malloc_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,675 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_deadlock_detector_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,675 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_symbolizer_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,675 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_mutex_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,675 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_bvgraph_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,675 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stoptheworld_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,676 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_posix_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,676 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_list_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,676 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stackdepot_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,676 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stacktrace_printer_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,676 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_bitvector_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,677 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_format_interceptor_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,677 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_allocator_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,677 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_atomic_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,677 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_utils.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,677 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_nolibc_test_main.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,678 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_thread_registry_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,678 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_suppressions_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,678 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_printf_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,678 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_allocator_testlib.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/tests 2024-01-19 02:41:20,678 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_printer.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,679 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,679 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_syscalls.inc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,679 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_report_decorator.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,679 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_quarantine.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,680 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_asm.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,680 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_mac.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,680 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_nolibc.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,680 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_mac.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,680 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/Makefile.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,681 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,681 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix_libcdep.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,681 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_win.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,681 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_common.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,681 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,682 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_libcdep.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,682 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_generic.inc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,682 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,682 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flag_parser.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,682 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_msvc.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,683 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libc.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,683 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_thread_registry.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,683 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_list.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,683 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libignore.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,683 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_printf.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,684 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_interface_internal.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,684 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libcdep.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,684 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_printer.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,684 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libbacktrace.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,684 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,685 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_posix_libcdep.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,685 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,685 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang_x86.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,685 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepot.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,685 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors.inc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,686 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_internal.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,686 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_bvgraph.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,686 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_unwind_linux_libcdep.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,687 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors_ioctl.inc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,687 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux_libcdep.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,687 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_libcdep.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,687 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,687 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,688 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_linux.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,688 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libbacktrace.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,688 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_malloc_mac.inc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,688 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator_internal.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,688 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_linux.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,689 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libignore.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,689 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_tls_get_addr.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,689 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepot.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,689 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,689 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_internal_defs.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,690 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_coverage_libcdep.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,690 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stoptheworld_linux_libcdep.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,690 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_linux_aarch64.inc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,690 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,691 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,691 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_freebsd.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,691 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,691 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_bitvector.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,691 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.inc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,692 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 02:41:20,692 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/cpplint.py -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 02:41:20,692 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/litlint_test.py -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 02:41:20,692 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/check_lint.sh -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 02:41:20,692 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/litlint.py -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 02:41:20,693 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/sancov.py -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 02:41:20,693 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/gen_dynamic_list.py -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts 2024-01-19 02:41:20,693 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,693 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flag_parser.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,693 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector_interface.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,694 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang_other.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,694 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libc.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,694 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_addrhashmap.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,694 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_lfstack.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,695 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_persistent_allocator.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,695 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_thread_registry.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,695 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,695 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_placement_new.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,695 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mac.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,696 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_interceptors.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,696 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,696 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_coverage_mapping_libcdep.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,696 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_mac.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,696 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator_interface.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,697 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,697 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_suppressions.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,697 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_suppressions.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,697 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors_format.inc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,697 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_posix.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,698 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector1.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,698 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/sanitizer_common 2024-01-19 02:41:20,698 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 02:41:20,698 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/dfsan.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 02:41:20,698 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/dfsan.syms.extra -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 02:41:20,699 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 02:41:20,699 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/dfsan_flags.inc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 02:41:20,699 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/dfsan_custom.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 02:41:20,699 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/dfsan_interceptors.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 02:41:20,699 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/dfsan/scripts 2024-01-19 02:41:20,700 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/scripts/check_custom_wrappers.sh -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/dfsan/scripts 2024-01-19 02:41:20,700 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/scripts/build-libc-list.py -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/dfsan/scripts 2024-01-19 02:41:20,700 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/libc_ubuntu1404_abilist.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 02:41:20,700 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/dfsan.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 02:41:20,701 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/dfsan/done_abilist.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/dfsan 2024-01-19 02:41:20,701 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,701 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ucmpdi2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,701 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatuntisf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,702 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/paritydi2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,702 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/truncdfhf2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,702 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/multf3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,702 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulsc3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,702 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixsfti.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,702 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_trunc.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,703 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floattidf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,703 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatdixf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,703 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/lshrti3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,703 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulsf3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,703 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/clzsi2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,704 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulosi4.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,704 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixsfdi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,704 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunstfsi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,704 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/udivmodti4.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,704 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/multc3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,705 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/lshrdi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,705 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_fixint_impl.inc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,705 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/assembly.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,705 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divmodsi4.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,705 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/negvsi2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,705 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/udivmodsi4.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,706 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatunditf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,706 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunssfsi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,706 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunsdfsi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,706 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/powixf2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,706 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatunsidf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,707 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divsc3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,707 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ashldi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,707 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/clzdi2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,707 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatundisf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,707 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/gcc_personality_v0.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,708 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,708 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/floatundixf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,708 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/muldi3.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,708 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/floatundisf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,708 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/lshrdi3.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,709 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/floatundidf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,709 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/chkstk2.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,709 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/Makefile.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,709 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/ashldi3.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,709 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/udivdi3.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,709 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/floatdidf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,710 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/ashrdi3.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,710 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/floatdisf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,710 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/chkstk.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,710 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/umoddi3.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,710 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/moddi3.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,711 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/divdi3.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,711 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/i386/floatdixf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/i386 2024-01-19 02:41:20,711 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/subsf3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,711 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,711 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm64 2024-01-19 02:41:20,712 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm64/Makefile.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm64 2024-01-19 02:41:20,712 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulvti3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,712 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/extenddftf2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,712 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatdisf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,712 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/clzti2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,713 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixsfsi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,713 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/subdf3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,713 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ashrdi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,713 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/absvsi2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,713 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/extendhfsf2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,713 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/trunctfsf2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,714 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/powitf2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,714 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/clear_cache.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,714 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/cmpdi2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,714 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/negvdi2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,714 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/enable_execute_stack.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,715 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ctzdi2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,715 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Makefile.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,715 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ffsti2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,715 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/int_util.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,715 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/comparetf2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,716 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divdi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,716 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ffsdi2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,716 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatundidf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,716 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_add_impl.inc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,716 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divsf3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,717 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,717 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/negsf2vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,717 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/restore_vfp_d8_d15_regs.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,717 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/fixunssfsivfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,717 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_and_4.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,718 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umin_4.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,718 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/mulsf3vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,718 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/clzdi2.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,718 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/unorddf2vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,718 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/ltsf2vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,718 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/negdf2vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,719 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_div0.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,719 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/gedf2vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,719 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/comparesf2.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,719 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memset.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,719 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/divsf3vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,720 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/divdf3vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,720 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,720 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_min_4.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,720 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_sub_8.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,720 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/fixdfsivfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,721 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_uidivmod.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,721 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/extendsfdf2vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,721 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/switch32.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,721 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/addsf3vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,721 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_xor_4.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,721 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/Makefile.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,722 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_xor_8.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,722 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_or_4.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,722 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_and_8.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,722 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umax_8.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,722 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_uldivmod.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,723 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/bswapdi2.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,723 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cfcmpeq_check_nan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,723 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/divmodsi4.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,723 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_fcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,724 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/nedf2vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,724 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync-ops.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,724 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_drsub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,724 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/truncdfsf2vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,724 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/gesf2vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,725 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umax_4.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,725 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cdcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,725 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_min_8.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,725 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/softfloat-alias.list -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,725 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_ldivmod.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,726 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/subsf3vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,726 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_sub_4.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,726 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/divsi3.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,726 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/floatsidfvfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,726 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_synchronize.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,727 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/unordsf2vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,727 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/floatunssidfvfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,727 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/umodsi3.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,727 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/nesf2vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,727 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/gtdf2vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,728 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_frsub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,728 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_nand_8.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,728 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/eqsf2vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,728 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/switch8.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,728 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/modsi3.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,728 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/muldf3vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,729 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/floatunssisfvfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,729 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cdcmpeq_check_nan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,729 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_nand_4.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,729 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/udivsi3.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,729 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/switch16.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,730 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/lesf2vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,730 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/save_vfp_d8_d15_regs.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,730 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/bswapsi2.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,730 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/ledf2vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,730 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_idivmod.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,731 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memmove.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,731 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cfcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,731 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_max_4.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,731 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_add_4.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,731 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/fixsfsivfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,732 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/ltdf2vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,732 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_dcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,732 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_or_8.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,732 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/udivmodsi4.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,732 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/gtsf2vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,732 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/fixunsdfsivfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,733 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umin_8.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,733 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/clzsi2.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,733 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,733 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/floatsisfvfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,733 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_max_8.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,734 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_add_8.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,734 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/eqdf2vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,734 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/subdf3vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,734 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/switchu8.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,734 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/arm/adddf3vfp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/arm 2024-01-19 02:41:20,735 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 02:41:20,735 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundixf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 02:41:20,735 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdixf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 02:41:20,735 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundisf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 02:41:20,735 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundidf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 02:41:20,736 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/chkstk2.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 02:41:20,736 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdisf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 02:41:20,736 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/Makefile.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 02:41:20,736 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/chkstk.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 02:41:20,736 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdidf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/x86_64 2024-01-19 02:41:20,737 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/multi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,737 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/truncsfhf2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,737 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/udivdi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,737 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/modti3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,737 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divtf3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,738 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_clear_explicit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,738 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divti3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,738 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunsdfdi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,738 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/adddf3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,738 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulvdi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,738 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/muldi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,739 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_trunc_impl.inc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,739 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/int_math.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,739 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulvsi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,739 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/addsf3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,739 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_fixuint_impl.inc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,740 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/muloti4.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,740 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/atomic.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,740 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/paritysi2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,740 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixxfdi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,740 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/armv6m 2024-01-19 02:41:20,741 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/armv6m/Makefile.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/armv6m 2024-01-19 02:41:20,741 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunsxfdi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,741 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/negsf2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,741 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_mul_impl.inc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,741 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/extendsfdf2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,742 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_lib.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,742 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ctzsi2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,742 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,742 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,742 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx-i386.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,742 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/10.4-x86_64.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,743 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,743 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim-i386.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,743 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios7-arm64.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,743 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios6-armv7s.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,743 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,744 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/README.TXT -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,744 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios-armv7.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,744 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/10.4.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,744 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx-x86_64.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,744 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim-x86_64.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,745 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,745 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios6-armv7.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,745 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios-armv7s.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes 2024-01-19 02:41:20,745 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatditf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,745 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulodi4.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,746 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/addvsi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,746 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunssfdi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,746 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatunsitf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,746 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/mulxc3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,746 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_extend.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,746 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/truncdfsf2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,747 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatundixf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,747 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunstfdi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,747 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunsxfti.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,747 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floattixf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,747 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/udivsi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,748 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/emutls.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,748 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunsxfsi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,748 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatunsisf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,748 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/addvdi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,748 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatsidf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,749 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatsitf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,749 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divxc3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,749 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/popcountti2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,749 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixdfsi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,749 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/cmpti2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,750 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/udivti3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,750 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunstfti.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,750 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/addtf3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,750 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/atomic_thread_fence.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,750 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 02:41:20,751 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/thumb2-64.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 02:41:20,751 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 02:41:20,751 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/common.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 02:41:20,751 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/i386.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 02:41:20,751 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/arm.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 02:41:20,751 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/thumb2.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/macho_embedded 2024-01-19 02:41:20,752 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatsisf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,752 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatuntixf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,752 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/umodsi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,752 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/negti2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,752 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/negdf2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,753 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divsi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,753 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/apple_versioning.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,753 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/moddi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,753 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/int_lib.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,753 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixdfti.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,754 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/parityti2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,754 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divdf3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,754 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/umoddi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,754 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/subtf3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,754 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/eprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,755 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_test_and_set_explicit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,755 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixtfdi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,755 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/README.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,755 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixxfti.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,755 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/powidf2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,756 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/negvti2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,756 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatdidf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,756 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/absvdi2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,756 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixdfdi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,756 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/int_types.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,757 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/atomic_signal_fence.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,757 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ashlti3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,757 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_clear.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,757 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/extendsftf2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,757 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/muldf3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,758 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fp_extend_impl.inc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,758 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/popcountdi2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,758 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divmoddi4.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,758 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunsdfti.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,758 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/trunctfdf2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,759 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/int_endianness.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,759 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ucmpti2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,759 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixtfti.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,759 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/addvti3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,759 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/trampoline_setup.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,760 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/subvdi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,760 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floattisf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,760 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ashrti3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,760 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/modsi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,760 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/muldc3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,761 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/int_util.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,761 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/absvti2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,761 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/subvti3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,761 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixtfsi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,761 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ctzti2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,761 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/subvsi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,762 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/negdi2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,762 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/comparedf2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,762 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/divdc3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,762 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/powisf2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,762 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/floatuntidf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,763 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/udivmoddi4.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,763 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/fixunssfti.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,763 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_test_and_set.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,763 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/comparesf2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,764 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,764 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/multc3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,764 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/floatunditf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,764 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/restFP.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,764 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qmul.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,764 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qsub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,765 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/Makefile.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,765 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qadd.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,765 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/floatditf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,765 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/fixunstfdi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,765 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/DD.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,766 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/fixtfdi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,766 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/saveFP.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,766 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qdiv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,766 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/ppc/divtc3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins/ppc 2024-01-19 02:41:20,766 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/popcountsi2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,767 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/builtins/umodti3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/builtins 2024-01-19 02:41:20,767 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib 2024-01-19 02:41:20,767 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 02:41:20,767 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 02:41:20,767 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/Makefile.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 02:41:20,767 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception_type_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 02:41:20,768 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception_win.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 02:41:20,768 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception_win.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 02:41:20,768 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 02:41:20,768 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception_linux.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 02:41:20,768 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception_linux.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 02:41:20,769 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception_mac.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 02:41:20,769 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/interception/interception_mac.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/interception 2024-01-19 02:41:20,769 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/Makefile.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib 2024-01-19 02:41:20,769 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,769 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_common_linux.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,770 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_flags.inc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,770 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_thread.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,770 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,770 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/Makefile.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,770 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_thread.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,771 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,771 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,771 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_common.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,771 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_preinit.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,771 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_common.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,772 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_allocator.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,772 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_allocator.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,772 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/lsan/lsan_interceptors.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/lsan 2024-01-19 02:41:20,772 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,772 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,772 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingRuntime.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,773 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/Makefile.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,773 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfiling.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,773 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingBuffer.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,773 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfiling.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,773 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingInternal.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,774 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformDarwin.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,774 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingUtil.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,774 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformOther.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,774 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/GCDAProfiling.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,774 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingFile.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,775 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformLinux.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,775 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/profile/InstrProfilingUtil.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/profile 2024-01-19 02:41:20,775 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,775 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_linux.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,775 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_allocator.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,776 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_blacklist.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,776 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,776 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_poisoning.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,776 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan.syms.extra -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,776 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_allocator.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,777 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,777 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 02:41:20,777 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/tests/msan_loadable.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 02:41:20,777 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/tests/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 02:41:20,777 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/tests/msan_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 02:41:20,778 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/tests/msan_test_main.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 02:41:20,778 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/tests/msan_test_config.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan/tests 2024-01-19 02:41:20,778 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_poisoning.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,778 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_report.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,778 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_interface_internal.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,779 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_thread.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,779 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_flags.inc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,779 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,779 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_chained_origin_depot.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,779 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_origin.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,780 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_flags.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,780 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_interceptors.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,780 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_new_delete.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,780 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_chained_origin_depot.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,780 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/msan/msan_thread.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/msan 2024-01-19 02:41:20,781 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/safestack 2024-01-19 02:41:20,781 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/safestack/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/safestack 2024-01-19 02:41:20,781 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/safestack/safestack.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/safestack 2024-01-19 02:41:20,781 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,781 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,782 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.inc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,782 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan.syms.extra -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,782 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers_cxx.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,782 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,782 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,782 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_checks.inc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,783 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/Makefile.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,783 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,783 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers_cxx.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,783 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_platform.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,783 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init_standalone.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,784 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,784 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_value.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,784 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash_itanium.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,784 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_diag.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,785 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,785 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,785 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash_win.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,785 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_diag.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,785 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,785 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_value.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,786 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/ubsan 2024-01-19 02:41:20,786 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/cfi 2024-01-19 02:41:20,786 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/cfi/cfi_blacklist.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/cfi 2024-01-19 02:41:20,786 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/cfi/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/cfi 2024-01-19 02:41:20,786 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-01-19 02:41:20,787 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime/data.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-01-19 02:41:20,787 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime/Block.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-01-19 02:41:20,787 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime/runtime.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-01-19 02:41:20,787 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/BlocksRuntime/Block_private.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/BlocksRuntime 2024-01-19 02:41:20,787 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,788 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_activation.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,788 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_malloc_mac.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,788 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_malloc_win.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,788 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_globals.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,788 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_win_dynamic_runtime_thunk.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,789 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_new_delete.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,789 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_mac.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,789 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan.syms.extra -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,789 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_rtl.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,789 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_mapping.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,790 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_init_version.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,790 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_win_dll_thunk.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,790 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,790 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,790 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_main.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,791 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_globals_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,791 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,791 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_interface_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,791 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_benchmarks_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,791 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_mem_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,792 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_config.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,792 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_exceptions_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,792 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_noinst_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,792 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_racy_double_free_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,792 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,793 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_test.ignore -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,793 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_oob_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,793 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,793 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_utils.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,793 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_fake_stack_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,794 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_str_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,794 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test_helpers.mm -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,794 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,794 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/tests/asan_asm_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/tests 2024-01-19 02:41:20,794 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_suppressions.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,795 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_fake_stack.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,795 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/Makefile.mk -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,795 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_flags.inc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,795 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_internal.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,795 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_thread.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,796 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_poisoning.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,796 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_report.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,796 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_suppressions.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,796 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_allocator.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,797 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_report.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,797 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_lock.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,797 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_debugging.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,797 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_interface_internal.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,797 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_stats.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,797 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_flags.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,798 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_flags.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,798 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_allocator.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,798 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_stack.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,798 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_activation.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,798 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_win.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,799 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_poisoning.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,799 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_stack.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,799 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_malloc_linux.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,799 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-01-19 02:41:20,799 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/scripts/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-01-19 02:41:20,800 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/scripts/asan_device_setup -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-01-19 02:41:20,800 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/scripts/asan_symbolize.py -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan/scripts 2024-01-19 02:41:20,800 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_activation_flags.inc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,800 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_fake_stack.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,801 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/README.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,801 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_posix.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,801 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_stats.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,801 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_preinit.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,801 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_blacklist.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,801 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_thread.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,802 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_interceptors.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,802 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_linux.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,802 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/asan/asan_interceptors.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/asan 2024-01-19 02:41:20,802 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 02:41:20,802 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/check_cmake.sh -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 02:41:20,803 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/check_analyze.sh -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 02:41:20,803 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/analyze_libtsan.sh -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 02:41:20,803 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 02:41:20,803 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/Makefile.old -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 02:41:20,803 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests 2024-01-19 02:41:20,804 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,804 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_sync_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,804 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_dense_alloc_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,804 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,804 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_shadow_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,805 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_vector_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,805 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mman_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,805 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mutex_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,805 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_clock_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,805 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mutexset_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,806 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_unit_test_main.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,806 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_flags_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,806 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_stack_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/unit 2024-01-19 02:41:20,806 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests 2024-01-19 02:41:20,806 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 02:41:20,806 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_posix.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 02:41:20,807 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_mutex.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 02:41:20,807 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_thread.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 02:41:20,807 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 02:41:20,807 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_mop.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 02:41:20,807 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_bench.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 02:41:20,808 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_string.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 02:41:20,808 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test_util_linux.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 02:41:20,808 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test_util.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 02:41:20,808 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/tests/rtl 2024-01-19 02:41:20,809 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,809 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_linux.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,809 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_symbolize.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,809 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutex.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,809 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,810 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,810 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,810 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/Makefile.old -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,810 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_sync.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,810 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_vector.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,811 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_inl.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,811 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stat.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,811 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_fd.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,811 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_java.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,811 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_report.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,812 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_ann.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,812 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,812 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_new_delete.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,812 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_windows.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,812 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_malloc_mac.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,812 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_trace.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,813 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_dense_alloc.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,813 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutexset.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,813 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mman.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,813 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_java.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,813 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,814 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_posix.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,814 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interceptors.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,814 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stack_trace.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,814 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_thread.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,814 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_mutex.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,815 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stack_trace.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,815 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mman.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,815 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_ann.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,815 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_symbolize.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,815 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_sync.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,816 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_ignoreset.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,816 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_report.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,816 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_ignoreset.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,816 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutexset.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,816 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_update_shadow_word_inl.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,817 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interceptors.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,817 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_fd.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,817 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,817 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan.syms.extra -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,818 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_clock.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,818 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.inc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,818 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_md5.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,818 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_atomic.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,818 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_defs.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,819 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stat.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,819 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,819 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_suppressions.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,819 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_mac.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,819 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_clock.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,820 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutex.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,820 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_amd64.S -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,820 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_suppressions.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,820 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_report.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/rtl 2024-01-19 02:41:20,820 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/check_memcpy.sh -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan 2024-01-19 02:41:20,821 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-01-19 02:41:20,821 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd/dd_rtl.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-01-19 02:41:20,821 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-01-19 02:41:20,821 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd/dd_interceptors.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-01-19 02:41:20,821 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/dd/dd_rtl.h -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/dd 2024-01-19 02:41:20,822 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-01-19 02:41:20,822 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks/start_many_threads.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-01-19 02:41:20,822 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks/mini_bench_local.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-01-19 02:41:20,822 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks/mini_bench_shared.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-01-19 02:41:20,822 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/benchmarks/vts_many_threads_bench.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/benchmarks 2024-01-19 02:41:20,823 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/go 2024-01-19 02:41:20,823 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/go/test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/go 2024-01-19 02:41:20,823 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/go/build.bat -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/go 2024-01-19 02:41:20,823 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/go/tsan_go.cc -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/go 2024-01-19 02:41:20,823 root INFO copying build/lib/pythondata_software_compiler_rt/data/lib/tsan/go/buildgo.sh -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt/data/lib/tsan/go 2024-01-19 02:41:20,824 root INFO copying build/lib/pythondata_software_compiler_rt/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt 2024-01-19 02:41:20,824 root INFO running install_egg_info 2024-01-19 02:41:20,828 root INFO Copying pythondata_software_compiler_rt.egg-info to build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt-0.0.post6189-py3.11.egg-info 2024-01-19 02:41:20,829 root INFO running install_scripts 2024-01-19 02:41:20,830 wheel INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_compiler_rt-0.0.post6189.dist-info/WHEEL 2024-01-19 02:41:20,831 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/pythondata-software-compiler_rt-2020.04/dist/.tmp-hjg09f5l/pythondata_software_compiler_rt-0.0.post6189-py3-none-any.whl' and adding 'build/bdist.linux-x86_64/wheel' to it 2024-01-19 02:41:20,831 wheel INFO adding 'pythondata_software_compiler_rt/__init__.py' 2024-01-19 02:41:20,832 wheel INFO adding 'pythondata_software_compiler_rt/data/.arcconfig' 2024-01-19 02:41:20,832 wheel INFO adding 'pythondata_software_compiler_rt/data/.gitignore' 2024-01-19 02:41:20,832 wheel INFO adding 'pythondata_software_compiler_rt/data/CMakeLists.txt' 2024-01-19 02:41:20,832 wheel INFO adding 'pythondata_software_compiler_rt/data/CODE_OWNERS.TXT' 2024-01-19 02:41:20,833 wheel INFO adding 'pythondata_software_compiler_rt/data/CREDITS.TXT' 2024-01-19 02:41:20,833 wheel INFO adding 'pythondata_software_compiler_rt/data/LICENSE.TXT' 2024-01-19 02:41:20,833 wheel INFO adding 'pythondata_software_compiler_rt/data/Makefile' 2024-01-19 02:41:20,833 wheel INFO adding 'pythondata_software_compiler_rt/data/README.txt' 2024-01-19 02:41:20,834 wheel INFO adding 'pythondata_software_compiler_rt/data/cmake/config-ix.cmake' 2024-01-19 02:41:20,834 wheel INFO adding 'pythondata_software_compiler_rt/data/cmake/Modules/AddCompilerRT.cmake' 2024-01-19 02:41:20,834 wheel INFO adding 'pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTCompile.cmake' 2024-01-19 02:41:20,835 wheel INFO adding 'pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTDarwinUtils.cmake' 2024-01-19 02:41:20,835 wheel INFO adding 'pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTLink.cmake' 2024-01-19 02:41:20,835 wheel INFO adding 'pythondata_software_compiler_rt/data/cmake/Modules/CompilerRTUtils.cmake' 2024-01-19 02:41:20,835 wheel INFO adding 'pythondata_software_compiler_rt/data/cmake/Modules/SanitizerUtils.cmake' 2024-01-19 02:41:20,836 wheel INFO adding 'pythondata_software_compiler_rt/data/include/CMakeLists.txt' 2024-01-19 02:41:20,836 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/allocator_interface.h' 2024-01-19 02:41:20,836 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/asan_interface.h' 2024-01-19 02:41:20,836 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/common_interface_defs.h' 2024-01-19 02:41:20,837 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/coverage_interface.h' 2024-01-19 02:41:20,837 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/dfsan_interface.h' 2024-01-19 02:41:20,838 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/linux_syscall_hooks.h' 2024-01-19 02:41:20,839 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/lsan_interface.h' 2024-01-19 02:41:20,839 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/msan_interface.h' 2024-01-19 02:41:20,839 wheel INFO adding 'pythondata_software_compiler_rt/data/include/sanitizer/tsan_interface_atomic.h' 2024-01-19 02:41:20,839 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/CMakeLists.txt' 2024-01-19 02:41:20,840 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/Makefile.mk' 2024-01-19 02:41:20,840 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/BlocksRuntime/Block.h' 2024-01-19 02:41:20,840 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/BlocksRuntime/Block_private.h' 2024-01-19 02:41:20,840 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/BlocksRuntime/data.c' 2024-01-19 02:41:20,841 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/BlocksRuntime/runtime.c' 2024-01-19 02:41:20,841 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/CMakeLists.txt' 2024-01-19 02:41:20,841 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/Makefile.mk' 2024-01-19 02:41:20,841 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/README.txt' 2024-01-19 02:41:20,842 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan.syms.extra' 2024-01-19 02:41:20,842 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_activation.cc' 2024-01-19 02:41:20,842 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_activation.h' 2024-01-19 02:41:20,842 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_activation_flags.inc' 2024-01-19 02:41:20,843 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_allocator.cc' 2024-01-19 02:41:20,843 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_allocator.h' 2024-01-19 02:41:20,843 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_blacklist.txt' 2024-01-19 02:41:20,843 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_debugging.cc' 2024-01-19 02:41:20,844 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_fake_stack.cc' 2024-01-19 02:41:20,844 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_fake_stack.h' 2024-01-19 02:41:20,844 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_flags.cc' 2024-01-19 02:41:20,844 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_flags.h' 2024-01-19 02:41:20,845 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_flags.inc' 2024-01-19 02:41:20,845 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_globals.cc' 2024-01-19 02:41:20,845 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_init_version.h' 2024-01-19 02:41:20,845 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_interceptors.cc' 2024-01-19 02:41:20,846 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_interceptors.h' 2024-01-19 02:41:20,846 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_interface_internal.h' 2024-01-19 02:41:20,846 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_internal.h' 2024-01-19 02:41:20,846 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_linux.cc' 2024-01-19 02:41:20,847 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_lock.h' 2024-01-19 02:41:20,847 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_mac.cc' 2024-01-19 02:41:20,847 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_malloc_linux.cc' 2024-01-19 02:41:20,847 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_malloc_mac.cc' 2024-01-19 02:41:20,848 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_malloc_win.cc' 2024-01-19 02:41:20,848 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_mapping.h' 2024-01-19 02:41:20,849 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_new_delete.cc' 2024-01-19 02:41:20,849 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_poisoning.cc' 2024-01-19 02:41:20,849 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_poisoning.h' 2024-01-19 02:41:20,849 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_posix.cc' 2024-01-19 02:41:20,850 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_preinit.cc' 2024-01-19 02:41:20,850 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_report.cc' 2024-01-19 02:41:20,850 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_report.h' 2024-01-19 02:41:20,851 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_rtl.cc' 2024-01-19 02:41:20,851 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_stack.cc' 2024-01-19 02:41:20,851 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_stack.h' 2024-01-19 02:41:20,851 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_stats.cc' 2024-01-19 02:41:20,852 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_stats.h' 2024-01-19 02:41:20,852 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_suppressions.cc' 2024-01-19 02:41:20,852 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_suppressions.h' 2024-01-19 02:41:20,852 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_thread.cc' 2024-01-19 02:41:20,853 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_thread.h' 2024-01-19 02:41:20,853 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_win.cc' 2024-01-19 02:41:20,853 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_win_dll_thunk.cc' 2024-01-19 02:41:20,853 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/asan_win_dynamic_runtime_thunk.cc' 2024-01-19 02:41:20,854 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/scripts/CMakeLists.txt' 2024-01-19 02:41:20,854 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/scripts/asan_device_setup' 2024-01-19 02:41:20,854 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/scripts/asan_symbolize.py' 2024-01-19 02:41:20,855 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/CMakeLists.txt' 2024-01-19 02:41:20,855 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_asm_test.cc' 2024-01-19 02:41:20,855 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_benchmarks_test.cc' 2024-01-19 02:41:20,855 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_exceptions_test.cc' 2024-01-19 02:41:20,855 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_fake_stack_test.cc' 2024-01-19 02:41:20,856 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_globals_test.cc' 2024-01-19 02:41:20,856 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_interface_test.cc' 2024-01-19 02:41:20,856 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test.cc' 2024-01-19 02:41:20,857 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test.h' 2024-01-19 02:41:20,857 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_mac_test_helpers.mm' 2024-01-19 02:41:20,857 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_mem_test.cc' 2024-01-19 02:41:20,857 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_noinst_test.cc' 2024-01-19 02:41:20,858 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_oob_test.cc' 2024-01-19 02:41:20,858 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_racy_double_free_test.cc' 2024-01-19 02:41:20,858 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_str_test.cc' 2024-01-19 02:41:20,858 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_test.cc' 2024-01-19 02:41:20,859 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_test.ignore' 2024-01-19 02:41:20,859 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_config.h' 2024-01-19 02:41:20,859 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_main.cc' 2024-01-19 02:41:20,859 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/asan/tests/asan_test_utils.h' 2024-01-19 02:41:20,860 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/CMakeLists.txt' 2024-01-19 02:41:20,860 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Makefile.mk' 2024-01-19 02:41:20,860 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/README.txt' 2024-01-19 02:41:20,861 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/absvdi2.c' 2024-01-19 02:41:20,861 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/absvsi2.c' 2024-01-19 02:41:20,861 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/absvti2.c' 2024-01-19 02:41:20,861 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/adddf3.c' 2024-01-19 02:41:20,861 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/addsf3.c' 2024-01-19 02:41:20,862 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/addtf3.c' 2024-01-19 02:41:20,862 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/addvdi3.c' 2024-01-19 02:41:20,862 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/addvsi3.c' 2024-01-19 02:41:20,862 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/addvti3.c' 2024-01-19 02:41:20,863 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/apple_versioning.c' 2024-01-19 02:41:20,863 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ashldi3.c' 2024-01-19 02:41:20,863 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ashlti3.c' 2024-01-19 02:41:20,863 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ashrdi3.c' 2024-01-19 02:41:20,863 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ashrti3.c' 2024-01-19 02:41:20,864 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/assembly.h' 2024-01-19 02:41:20,864 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/atomic.c' 2024-01-19 02:41:20,864 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_clear.c' 2024-01-19 02:41:20,864 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_clear_explicit.c' 2024-01-19 02:41:20,865 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_test_and_set.c' 2024-01-19 02:41:20,865 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/atomic_flag_test_and_set_explicit.c' 2024-01-19 02:41:20,865 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/atomic_signal_fence.c' 2024-01-19 02:41:20,865 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/atomic_thread_fence.c' 2024-01-19 02:41:20,865 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/clear_cache.c' 2024-01-19 02:41:20,866 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/clzdi2.c' 2024-01-19 02:41:20,866 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/clzsi2.c' 2024-01-19 02:41:20,866 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/clzti2.c' 2024-01-19 02:41:20,866 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/cmpdi2.c' 2024-01-19 02:41:20,866 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/cmpti2.c' 2024-01-19 02:41:20,867 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/comparedf2.c' 2024-01-19 02:41:20,867 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/comparesf2.c' 2024-01-19 02:41:20,867 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/comparetf2.c' 2024-01-19 02:41:20,867 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ctzdi2.c' 2024-01-19 02:41:20,867 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ctzsi2.c' 2024-01-19 02:41:20,868 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ctzti2.c' 2024-01-19 02:41:20,868 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divdc3.c' 2024-01-19 02:41:20,868 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divdf3.c' 2024-01-19 02:41:20,868 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divdi3.c' 2024-01-19 02:41:20,869 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divmoddi4.c' 2024-01-19 02:41:20,869 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divmodsi4.c' 2024-01-19 02:41:20,869 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divsc3.c' 2024-01-19 02:41:20,869 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divsf3.c' 2024-01-19 02:41:20,869 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divsi3.c' 2024-01-19 02:41:20,870 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divtf3.c' 2024-01-19 02:41:20,870 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divti3.c' 2024-01-19 02:41:20,870 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/divxc3.c' 2024-01-19 02:41:20,870 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/emutls.c' 2024-01-19 02:41:20,871 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/enable_execute_stack.c' 2024-01-19 02:41:20,871 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/eprintf.c' 2024-01-19 02:41:20,871 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/extenddftf2.c' 2024-01-19 02:41:20,871 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/extendhfsf2.c' 2024-01-19 02:41:20,871 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/extendsfdf2.c' 2024-01-19 02:41:20,872 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/extendsftf2.c' 2024-01-19 02:41:20,872 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ffsdi2.c' 2024-01-19 02:41:20,872 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ffsti2.c' 2024-01-19 02:41:20,872 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixdfdi.c' 2024-01-19 02:41:20,872 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixdfsi.c' 2024-01-19 02:41:20,872 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixdfti.c' 2024-01-19 02:41:20,873 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixsfdi.c' 2024-01-19 02:41:20,873 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixsfsi.c' 2024-01-19 02:41:20,873 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixsfti.c' 2024-01-19 02:41:20,873 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixtfdi.c' 2024-01-19 02:41:20,873 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixtfsi.c' 2024-01-19 02:41:20,874 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixtfti.c' 2024-01-19 02:41:20,874 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunsdfdi.c' 2024-01-19 02:41:20,874 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunsdfsi.c' 2024-01-19 02:41:20,874 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunsdfti.c' 2024-01-19 02:41:20,875 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunssfdi.c' 2024-01-19 02:41:20,875 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunssfsi.c' 2024-01-19 02:41:20,875 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunssfti.c' 2024-01-19 02:41:20,875 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunstfdi.c' 2024-01-19 02:41:20,875 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunstfsi.c' 2024-01-19 02:41:20,875 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunstfti.c' 2024-01-19 02:41:20,876 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunsxfdi.c' 2024-01-19 02:41:20,876 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunsxfsi.c' 2024-01-19 02:41:20,876 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixunsxfti.c' 2024-01-19 02:41:20,876 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixxfdi.c' 2024-01-19 02:41:20,876 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fixxfti.c' 2024-01-19 02:41:20,877 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatdidf.c' 2024-01-19 02:41:20,877 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatdisf.c' 2024-01-19 02:41:20,877 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatditf.c' 2024-01-19 02:41:20,877 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatdixf.c' 2024-01-19 02:41:20,878 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatsidf.c' 2024-01-19 02:41:20,878 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatsisf.c' 2024-01-19 02:41:20,878 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatsitf.c' 2024-01-19 02:41:20,878 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floattidf.c' 2024-01-19 02:41:20,878 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floattisf.c' 2024-01-19 02:41:20,879 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floattixf.c' 2024-01-19 02:41:20,879 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatundidf.c' 2024-01-19 02:41:20,879 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatundisf.c' 2024-01-19 02:41:20,879 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatunditf.c' 2024-01-19 02:41:20,880 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatundixf.c' 2024-01-19 02:41:20,880 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatunsidf.c' 2024-01-19 02:41:20,880 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatunsisf.c' 2024-01-19 02:41:20,880 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatunsitf.c' 2024-01-19 02:41:20,880 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatuntidf.c' 2024-01-19 02:41:20,881 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatuntisf.c' 2024-01-19 02:41:20,881 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/floatuntixf.c' 2024-01-19 02:41:20,881 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_add_impl.inc' 2024-01-19 02:41:20,881 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_extend.h' 2024-01-19 02:41:20,882 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_extend_impl.inc' 2024-01-19 02:41:20,882 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_fixint_impl.inc' 2024-01-19 02:41:20,882 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_fixuint_impl.inc' 2024-01-19 02:41:20,882 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_lib.h' 2024-01-19 02:41:20,882 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_mul_impl.inc' 2024-01-19 02:41:20,883 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_trunc.h' 2024-01-19 02:41:20,883 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/fp_trunc_impl.inc' 2024-01-19 02:41:20,883 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/gcc_personality_v0.c' 2024-01-19 02:41:20,883 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/int_endianness.h' 2024-01-19 02:41:20,884 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/int_lib.h' 2024-01-19 02:41:20,884 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/int_math.h' 2024-01-19 02:41:20,884 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/int_types.h' 2024-01-19 02:41:20,884 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/int_util.c' 2024-01-19 02:41:20,884 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/int_util.h' 2024-01-19 02:41:20,885 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/lshrdi3.c' 2024-01-19 02:41:20,885 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/lshrti3.c' 2024-01-19 02:41:20,885 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/moddi3.c' 2024-01-19 02:41:20,885 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/modsi3.c' 2024-01-19 02:41:20,885 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/modti3.c' 2024-01-19 02:41:20,886 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/muldc3.c' 2024-01-19 02:41:20,886 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/muldf3.c' 2024-01-19 02:41:20,886 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/muldi3.c' 2024-01-19 02:41:20,886 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulodi4.c' 2024-01-19 02:41:20,886 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulosi4.c' 2024-01-19 02:41:20,887 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/muloti4.c' 2024-01-19 02:41:20,887 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulsc3.c' 2024-01-19 02:41:20,887 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulsf3.c' 2024-01-19 02:41:20,887 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/multc3.c' 2024-01-19 02:41:20,888 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/multf3.c' 2024-01-19 02:41:20,888 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/multi3.c' 2024-01-19 02:41:20,888 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulvdi3.c' 2024-01-19 02:41:20,888 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulvsi3.c' 2024-01-19 02:41:20,888 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulvti3.c' 2024-01-19 02:41:20,889 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/mulxc3.c' 2024-01-19 02:41:20,889 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/negdf2.c' 2024-01-19 02:41:20,889 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/negdi2.c' 2024-01-19 02:41:20,889 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/negsf2.c' 2024-01-19 02:41:20,889 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/negti2.c' 2024-01-19 02:41:20,890 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/negvdi2.c' 2024-01-19 02:41:20,890 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/negvsi2.c' 2024-01-19 02:41:20,890 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/negvti2.c' 2024-01-19 02:41:20,890 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/paritydi2.c' 2024-01-19 02:41:20,890 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/paritysi2.c' 2024-01-19 02:41:20,891 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/parityti2.c' 2024-01-19 02:41:20,891 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/popcountdi2.c' 2024-01-19 02:41:20,891 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/popcountsi2.c' 2024-01-19 02:41:20,891 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/popcountti2.c' 2024-01-19 02:41:20,891 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/powidf2.c' 2024-01-19 02:41:20,892 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/powisf2.c' 2024-01-19 02:41:20,892 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/powitf2.c' 2024-01-19 02:41:20,892 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/powixf2.c' 2024-01-19 02:41:20,892 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/subdf3.c' 2024-01-19 02:41:20,892 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/subsf3.c' 2024-01-19 02:41:20,893 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/subtf3.c' 2024-01-19 02:41:20,893 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/subvdi3.c' 2024-01-19 02:41:20,893 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/subvsi3.c' 2024-01-19 02:41:20,893 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/subvti3.c' 2024-01-19 02:41:20,893 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/trampoline_setup.c' 2024-01-19 02:41:20,894 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/truncdfhf2.c' 2024-01-19 02:41:20,894 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/truncdfsf2.c' 2024-01-19 02:41:20,894 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/truncsfhf2.c' 2024-01-19 02:41:20,894 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/trunctfdf2.c' 2024-01-19 02:41:20,894 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/trunctfsf2.c' 2024-01-19 02:41:20,894 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ucmpdi2.c' 2024-01-19 02:41:20,895 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ucmpti2.c' 2024-01-19 02:41:20,895 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/udivdi3.c' 2024-01-19 02:41:20,895 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/udivmoddi4.c' 2024-01-19 02:41:20,895 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/udivmodsi4.c' 2024-01-19 02:41:20,896 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/udivmodti4.c' 2024-01-19 02:41:20,896 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/udivsi3.c' 2024-01-19 02:41:20,896 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/udivti3.c' 2024-01-19 02:41:20,896 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/umoddi3.c' 2024-01-19 02:41:20,896 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/umodsi3.c' 2024-01-19 02:41:20,897 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/umodti3.c' 2024-01-19 02:41:20,897 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/10.4-x86_64.txt' 2024-01-19 02:41:20,897 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/10.4.txt' 2024-01-19 02:41:20,897 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/CMakeLists.txt' 2024-01-19 02:41:20,897 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/README.TXT' 2024-01-19 02:41:20,898 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios-armv7.txt' 2024-01-19 02:41:20,898 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios-armv7s.txt' 2024-01-19 02:41:20,898 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios.txt' 2024-01-19 02:41:20,898 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios6-armv7.txt' 2024-01-19 02:41:20,898 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios6-armv7s.txt' 2024-01-19 02:41:20,899 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/ios7-arm64.txt' 2024-01-19 02:41:20,899 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim-i386.txt' 2024-01-19 02:41:20,899 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim-x86_64.txt' 2024-01-19 02:41:20,899 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/iossim.txt' 2024-01-19 02:41:20,899 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx-i386.txt' 2024-01-19 02:41:20,900 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx-x86_64.txt' 2024-01-19 02:41:20,900 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/Darwin-excludes/osx.txt' 2024-01-19 02:41:20,900 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/Makefile.mk' 2024-01-19 02:41:20,900 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/adddf3vfp.S' 2024-01-19 02:41:20,901 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/addsf3vfp.S' 2024-01-19 02:41:20,901 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cdcmp.S' 2024-01-19 02:41:20,901 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cdcmpeq_check_nan.c' 2024-01-19 02:41:20,901 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cfcmp.S' 2024-01-19 02:41:20,901 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_cfcmpeq_check_nan.c' 2024-01-19 02:41:20,902 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_dcmp.S' 2024-01-19 02:41:20,902 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_div0.c' 2024-01-19 02:41:20,902 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_drsub.c' 2024-01-19 02:41:20,902 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_fcmp.S' 2024-01-19 02:41:20,902 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_frsub.c' 2024-01-19 02:41:20,903 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_idivmod.S' 2024-01-19 02:41:20,903 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_ldivmod.S' 2024-01-19 02:41:20,903 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memcmp.S' 2024-01-19 02:41:20,903 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memcpy.S' 2024-01-19 02:41:20,903 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memmove.S' 2024-01-19 02:41:20,904 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_memset.S' 2024-01-19 02:41:20,904 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_uidivmod.S' 2024-01-19 02:41:20,904 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/aeabi_uldivmod.S' 2024-01-19 02:41:20,904 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/bswapdi2.S' 2024-01-19 02:41:20,904 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/bswapsi2.S' 2024-01-19 02:41:20,905 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/clzdi2.S' 2024-01-19 02:41:20,905 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/clzsi2.S' 2024-01-19 02:41:20,905 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/comparesf2.S' 2024-01-19 02:41:20,905 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/divdf3vfp.S' 2024-01-19 02:41:20,906 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/divmodsi4.S' 2024-01-19 02:41:20,906 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/divsf3vfp.S' 2024-01-19 02:41:20,906 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/divsi3.S' 2024-01-19 02:41:20,906 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/eqdf2vfp.S' 2024-01-19 02:41:20,906 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/eqsf2vfp.S' 2024-01-19 02:41:20,907 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/extendsfdf2vfp.S' 2024-01-19 02:41:20,907 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/fixdfsivfp.S' 2024-01-19 02:41:20,907 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/fixsfsivfp.S' 2024-01-19 02:41:20,907 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/fixunsdfsivfp.S' 2024-01-19 02:41:20,907 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/fixunssfsivfp.S' 2024-01-19 02:41:20,908 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/floatsidfvfp.S' 2024-01-19 02:41:20,908 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/floatsisfvfp.S' 2024-01-19 02:41:20,908 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/floatunssidfvfp.S' 2024-01-19 02:41:20,908 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/floatunssisfvfp.S' 2024-01-19 02:41:20,908 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/gedf2vfp.S' 2024-01-19 02:41:20,909 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/gesf2vfp.S' 2024-01-19 02:41:20,909 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/gtdf2vfp.S' 2024-01-19 02:41:20,909 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/gtsf2vfp.S' 2024-01-19 02:41:20,909 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/ledf2vfp.S' 2024-01-19 02:41:20,909 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/lesf2vfp.S' 2024-01-19 02:41:20,910 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/ltdf2vfp.S' 2024-01-19 02:41:20,910 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/ltsf2vfp.S' 2024-01-19 02:41:20,910 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/modsi3.S' 2024-01-19 02:41:20,910 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/muldf3vfp.S' 2024-01-19 02:41:20,910 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/mulsf3vfp.S' 2024-01-19 02:41:20,911 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/nedf2vfp.S' 2024-01-19 02:41:20,911 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/negdf2vfp.S' 2024-01-19 02:41:20,911 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/negsf2vfp.S' 2024-01-19 02:41:20,911 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/nesf2vfp.S' 2024-01-19 02:41:20,911 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/restore_vfp_d8_d15_regs.S' 2024-01-19 02:41:20,912 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/save_vfp_d8_d15_regs.S' 2024-01-19 02:41:20,912 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/softfloat-alias.list' 2024-01-19 02:41:20,912 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/subdf3vfp.S' 2024-01-19 02:41:20,912 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/subsf3vfp.S' 2024-01-19 02:41:20,912 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/switch16.S' 2024-01-19 02:41:20,913 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/switch32.S' 2024-01-19 02:41:20,913 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/switch8.S' 2024-01-19 02:41:20,913 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/switchu8.S' 2024-01-19 02:41:20,913 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync-ops.h' 2024-01-19 02:41:20,913 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_add_4.S' 2024-01-19 02:41:20,914 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_add_8.S' 2024-01-19 02:41:20,914 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_and_4.S' 2024-01-19 02:41:20,914 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_and_8.S' 2024-01-19 02:41:20,914 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_max_4.S' 2024-01-19 02:41:20,914 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_max_8.S' 2024-01-19 02:41:20,915 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_min_4.S' 2024-01-19 02:41:20,915 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_min_8.S' 2024-01-19 02:41:20,915 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_nand_4.S' 2024-01-19 02:41:20,915 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_nand_8.S' 2024-01-19 02:41:20,915 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_or_4.S' 2024-01-19 02:41:20,916 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_or_8.S' 2024-01-19 02:41:20,916 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_sub_4.S' 2024-01-19 02:41:20,916 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_sub_8.S' 2024-01-19 02:41:20,916 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umax_4.S' 2024-01-19 02:41:20,916 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umax_8.S' 2024-01-19 02:41:20,917 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umin_4.S' 2024-01-19 02:41:20,917 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_umin_8.S' 2024-01-19 02:41:20,917 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_xor_4.S' 2024-01-19 02:41:20,917 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_fetch_and_xor_8.S' 2024-01-19 02:41:20,917 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/sync_synchronize.S' 2024-01-19 02:41:20,918 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/truncdfsf2vfp.S' 2024-01-19 02:41:20,918 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/udivmodsi4.S' 2024-01-19 02:41:20,918 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/udivsi3.S' 2024-01-19 02:41:20,918 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/umodsi3.S' 2024-01-19 02:41:20,918 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/unorddf2vfp.S' 2024-01-19 02:41:20,919 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm/unordsf2vfp.S' 2024-01-19 02:41:20,919 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/arm64/Makefile.mk' 2024-01-19 02:41:20,919 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/armv6m/Makefile.mk' 2024-01-19 02:41:20,919 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/Makefile.mk' 2024-01-19 02:41:20,920 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/ashldi3.S' 2024-01-19 02:41:20,920 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/ashrdi3.S' 2024-01-19 02:41:20,920 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/chkstk.S' 2024-01-19 02:41:20,920 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/chkstk2.S' 2024-01-19 02:41:20,920 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/divdi3.S' 2024-01-19 02:41:20,921 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/floatdidf.S' 2024-01-19 02:41:20,921 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/floatdisf.S' 2024-01-19 02:41:20,921 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/floatdixf.S' 2024-01-19 02:41:20,921 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/floatundidf.S' 2024-01-19 02:41:20,921 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/floatundisf.S' 2024-01-19 02:41:20,922 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/floatundixf.S' 2024-01-19 02:41:20,922 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/lshrdi3.S' 2024-01-19 02:41:20,922 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/moddi3.S' 2024-01-19 02:41:20,922 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/muldi3.S' 2024-01-19 02:41:20,922 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/udivdi3.S' 2024-01-19 02:41:20,923 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/i386/umoddi3.S' 2024-01-19 02:41:20,923 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/CMakeLists.txt' 2024-01-19 02:41:20,923 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/arm.txt' 2024-01-19 02:41:20,923 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/common.txt' 2024-01-19 02:41:20,924 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/i386.txt' 2024-01-19 02:41:20,924 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/thumb2-64.txt' 2024-01-19 02:41:20,924 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/macho_embedded/thumb2.txt' 2024-01-19 02:41:20,924 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/DD.h' 2024-01-19 02:41:20,924 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/Makefile.mk' 2024-01-19 02:41:20,925 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/divtc3.c' 2024-01-19 02:41:20,925 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/fixtfdi.c' 2024-01-19 02:41:20,925 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/fixunstfdi.c' 2024-01-19 02:41:20,925 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/floatditf.c' 2024-01-19 02:41:20,925 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/floatunditf.c' 2024-01-19 02:41:20,926 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qadd.c' 2024-01-19 02:41:20,926 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qdiv.c' 2024-01-19 02:41:20,926 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qmul.c' 2024-01-19 02:41:20,926 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/gcc_qsub.c' 2024-01-19 02:41:20,926 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/multc3.c' 2024-01-19 02:41:20,927 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/restFP.S' 2024-01-19 02:41:20,927 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/ppc/saveFP.S' 2024-01-19 02:41:20,927 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/Makefile.mk' 2024-01-19 02:41:20,927 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/chkstk.S' 2024-01-19 02:41:20,928 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/chkstk2.S' 2024-01-19 02:41:20,928 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdidf.c' 2024-01-19 02:41:20,928 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdisf.c' 2024-01-19 02:41:20,928 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatdixf.c' 2024-01-19 02:41:20,928 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundidf.S' 2024-01-19 02:41:20,929 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundisf.S' 2024-01-19 02:41:20,929 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/builtins/x86_64/floatundixf.S' 2024-01-19 02:41:20,929 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/cfi/CMakeLists.txt' 2024-01-19 02:41:20,929 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/cfi/cfi_blacklist.txt' 2024-01-19 02:41:20,929 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/CMakeLists.txt' 2024-01-19 02:41:20,930 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/dfsan.cc' 2024-01-19 02:41:20,930 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/dfsan.h' 2024-01-19 02:41:20,930 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/dfsan.syms.extra' 2024-01-19 02:41:20,930 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/dfsan_custom.cc' 2024-01-19 02:41:20,931 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/dfsan_flags.inc' 2024-01-19 02:41:20,931 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/dfsan_interceptors.cc' 2024-01-19 02:41:20,931 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/done_abilist.txt' 2024-01-19 02:41:20,932 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/libc_ubuntu1404_abilist.txt' 2024-01-19 02:41:20,932 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/scripts/build-libc-list.py' 2024-01-19 02:41:20,933 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/dfsan/scripts/check_custom_wrappers.sh' 2024-01-19 02:41:20,933 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/CMakeLists.txt' 2024-01-19 02:41:20,933 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/Makefile.mk' 2024-01-19 02:41:20,933 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception.h' 2024-01-19 02:41:20,934 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception_linux.cc' 2024-01-19 02:41:20,934 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception_linux.h' 2024-01-19 02:41:20,934 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception_mac.cc' 2024-01-19 02:41:20,934 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception_mac.h' 2024-01-19 02:41:20,934 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception_type_test.cc' 2024-01-19 02:41:20,935 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception_win.cc' 2024-01-19 02:41:20,935 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/interception/interception_win.h' 2024-01-19 02:41:20,935 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/CMakeLists.txt' 2024-01-19 02:41:20,935 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/Makefile.mk' 2024-01-19 02:41:20,936 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan.cc' 2024-01-19 02:41:20,936 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan.h' 2024-01-19 02:41:20,936 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_allocator.cc' 2024-01-19 02:41:20,936 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_allocator.h' 2024-01-19 02:41:20,936 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_common.cc' 2024-01-19 02:41:20,937 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_common.h' 2024-01-19 02:41:20,937 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_common_linux.cc' 2024-01-19 02:41:20,937 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_flags.inc' 2024-01-19 02:41:20,938 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_interceptors.cc' 2024-01-19 02:41:20,938 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_preinit.cc' 2024-01-19 02:41:20,938 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_thread.cc' 2024-01-19 02:41:20,938 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/lsan/lsan_thread.h' 2024-01-19 02:41:20,938 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/CMakeLists.txt' 2024-01-19 02:41:20,939 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan.cc' 2024-01-19 02:41:20,939 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan.h' 2024-01-19 02:41:20,939 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan.syms.extra' 2024-01-19 02:41:20,940 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_allocator.cc' 2024-01-19 02:41:20,940 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_allocator.h' 2024-01-19 02:41:20,940 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_blacklist.txt' 2024-01-19 02:41:20,940 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_chained_origin_depot.cc' 2024-01-19 02:41:20,940 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_chained_origin_depot.h' 2024-01-19 02:41:20,941 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_flags.h' 2024-01-19 02:41:20,941 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_flags.inc' 2024-01-19 02:41:20,941 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_interceptors.cc' 2024-01-19 02:41:20,942 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_interface_internal.h' 2024-01-19 02:41:20,942 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_linux.cc' 2024-01-19 02:41:20,942 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_new_delete.cc' 2024-01-19 02:41:20,942 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_origin.h' 2024-01-19 02:41:20,943 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_poisoning.cc' 2024-01-19 02:41:20,943 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_poisoning.h' 2024-01-19 02:41:20,943 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_report.cc' 2024-01-19 02:41:20,943 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_thread.cc' 2024-01-19 02:41:20,943 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/msan_thread.h' 2024-01-19 02:41:20,944 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/tests/CMakeLists.txt' 2024-01-19 02:41:20,944 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/tests/msan_loadable.cc' 2024-01-19 02:41:20,944 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/tests/msan_test.cc' 2024-01-19 02:41:20,945 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/tests/msan_test_config.h' 2024-01-19 02:41:20,945 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/msan/tests/msan_test_main.cc' 2024-01-19 02:41:20,945 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/CMakeLists.txt' 2024-01-19 02:41:20,946 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/GCDAProfiling.c' 2024-01-19 02:41:20,946 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfiling.c' 2024-01-19 02:41:20,946 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfiling.h' 2024-01-19 02:41:20,946 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingBuffer.c' 2024-01-19 02:41:20,947 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingFile.c' 2024-01-19 02:41:20,947 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingInternal.h' 2024-01-19 02:41:20,947 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformDarwin.c' 2024-01-19 02:41:20,947 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformLinux.c' 2024-01-19 02:41:20,948 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingPlatformOther.c' 2024-01-19 02:41:20,948 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingRuntime.cc' 2024-01-19 02:41:20,948 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingUtil.c' 2024-01-19 02:41:20,948 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/InstrProfilingUtil.h' 2024-01-19 02:41:20,948 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/profile/Makefile.mk' 2024-01-19 02:41:20,949 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/safestack/CMakeLists.txt' 2024-01-19 02:41:20,949 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/safestack/safestack.cc' 2024-01-19 02:41:20,949 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/CMakeLists.txt' 2024-01-19 02:41:20,950 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/Makefile.mk' 2024-01-19 02:41:20,950 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_addrhashmap.h' 2024-01-19 02:41:20,950 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator.cc' 2024-01-19 02:41:20,950 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator.h' 2024-01-19 02:41:20,951 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator_interface.h' 2024-01-19 02:41:20,951 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_allocator_internal.h' 2024-01-19 02:41:20,951 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_asm.h' 2024-01-19 02:41:20,951 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic.h' 2024-01-19 02:41:20,952 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang.h' 2024-01-19 02:41:20,952 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang_other.h' 2024-01-19 02:41:20,952 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_clang_x86.h' 2024-01-19 02:41:20,952 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_atomic_msvc.h' 2024-01-19 02:41:20,953 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_bitvector.h' 2024-01-19 02:41:20,953 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_bvgraph.h' 2024-01-19 02:41:20,953 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common.cc' 2024-01-19 02:41:20,953 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common.h' 2024-01-19 02:41:20,954 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors.inc' 2024-01-19 02:41:20,955 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors_format.inc' 2024-01-19 02:41:20,956 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_interceptors_ioctl.inc' 2024-01-19 02:41:20,956 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_libcdep.cc' 2024-01-19 02:41:20,956 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_nolibc.cc' 2024-01-19 02:41:20,956 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_common_syscalls.inc' 2024-01-19 02:41:20,957 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_coverage_libcdep.cc' 2024-01-19 02:41:20,958 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_coverage_mapping_libcdep.cc' 2024-01-19 02:41:20,958 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector.h' 2024-01-19 02:41:20,958 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector1.cc' 2024-01-19 02:41:20,958 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector2.cc' 2024-01-19 02:41:20,959 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_deadlock_detector_interface.h' 2024-01-19 02:41:20,959 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flag_parser.cc' 2024-01-19 02:41:20,959 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flag_parser.h' 2024-01-19 02:41:20,959 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.cc' 2024-01-19 02:41:20,960 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.h' 2024-01-19 02:41:20,960 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_flags.inc' 2024-01-19 02:41:20,960 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_freebsd.h' 2024-01-19 02:41:20,960 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_interface_internal.h' 2024-01-19 02:41:20,961 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_internal_defs.h' 2024-01-19 02:41:20,961 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_lfstack.h' 2024-01-19 02:41:20,961 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libc.cc' 2024-01-19 02:41:20,961 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libc.h' 2024-01-19 02:41:20,961 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libignore.cc' 2024-01-19 02:41:20,962 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_libignore.h' 2024-01-19 02:41:20,962 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux.cc' 2024-01-19 02:41:20,962 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux.h' 2024-01-19 02:41:20,963 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_linux_libcdep.cc' 2024-01-19 02:41:20,963 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_list.h' 2024-01-19 02:41:20,963 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mac.cc' 2024-01-19 02:41:20,963 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mac.h' 2024-01-19 02:41:20,964 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_malloc_mac.inc' 2024-01-19 02:41:20,964 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_mutex.h' 2024-01-19 02:41:20,964 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_persistent_allocator.cc' 2024-01-19 02:41:20,964 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_persistent_allocator.h' 2024-01-19 02:41:20,965 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_placement_new.h' 2024-01-19 02:41:20,965 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform.h' 2024-01-19 02:41:20,965 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_interceptors.h' 2024-01-19 02:41:20,965 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_linux.cc' 2024-01-19 02:41:20,966 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_posix.cc' 2024-01-19 02:41:20,966 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_platform_limits_posix.h' 2024-01-19 02:41:20,967 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix.cc' 2024-01-19 02:41:20,967 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix.h' 2024-01-19 02:41:20,967 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_posix_libcdep.cc' 2024-01-19 02:41:20,967 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_printf.cc' 2024-01-19 02:41:20,968 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps.h' 2024-01-19 02:41:20,968 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_common.cc' 2024-01-19 02:41:20,968 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_freebsd.cc' 2024-01-19 02:41:20,968 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_linux.cc' 2024-01-19 02:41:20,969 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_procmaps_mac.cc' 2024-01-19 02:41:20,969 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_quarantine.h' 2024-01-19 02:41:20,969 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_report_decorator.h' 2024-01-19 02:41:20,969 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepot.cc' 2024-01-19 02:41:20,969 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepot.h' 2024-01-19 02:41:20,970 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stackdepotbase.h' 2024-01-19 02:41:20,970 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace.cc' 2024-01-19 02:41:20,970 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace.h' 2024-01-19 02:41:20,970 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_libcdep.cc' 2024-01-19 02:41:20,971 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_printer.cc' 2024-01-19 02:41:20,971 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stacktrace_printer.h' 2024-01-19 02:41:20,971 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stoptheworld.h' 2024-01-19 02:41:20,971 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_stoptheworld_linux_libcdep.cc' 2024-01-19 02:41:20,972 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_suppressions.cc' 2024-01-19 02:41:20,972 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_suppressions.h' 2024-01-19 02:41:20,972 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer.cc' 2024-01-19 02:41:20,972 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer.h' 2024-01-19 02:41:20,972 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_internal.h' 2024-01-19 02:41:20,973 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libbacktrace.cc' 2024-01-19 02:41:20,973 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libbacktrace.h' 2024-01-19 02:41:20,974 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_libcdep.cc' 2024-01-19 02:41:20,974 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_mac.cc' 2024-01-19 02:41:20,974 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_mac.h' 2024-01-19 02:41:20,974 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_posix_libcdep.cc' 2024-01-19 02:41:20,975 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_symbolizer_win.cc' 2024-01-19 02:41:20,975 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_generic.inc' 2024-01-19 02:41:20,975 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_linux_aarch64.inc' 2024-01-19 02:41:20,975 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_syscall_linux_x86_64.inc' 2024-01-19 02:41:20,976 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_thread_registry.cc' 2024-01-19 02:41:20,976 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_thread_registry.h' 2024-01-19 02:41:20,976 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_tls_get_addr.cc' 2024-01-19 02:41:20,976 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_tls_get_addr.h' 2024-01-19 02:41:20,977 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_unwind_linux_libcdep.cc' 2024-01-19 02:41:20,977 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/sanitizer_win.cc' 2024-01-19 02:41:20,977 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/check_lint.sh' 2024-01-19 02:41:20,978 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/cpplint.py' 2024-01-19 02:41:20,979 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/gen_dynamic_list.py' 2024-01-19 02:41:20,979 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/litlint.py' 2024-01-19 02:41:20,979 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/litlint_test.py' 2024-01-19 02:41:20,979 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/sancov.py' 2024-01-19 02:41:20,980 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/CMakeLists.txt' 2024-01-19 02:41:20,980 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_allocator_test.cc' 2024-01-19 02:41:20,980 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_allocator_testlib.cc' 2024-01-19 02:41:20,981 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_atomic_test.cc' 2024-01-19 02:41:20,981 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_bitvector_test.cc' 2024-01-19 02:41:20,981 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_bvgraph_test.cc' 2024-01-19 02:41:20,981 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_common_test.cc' 2024-01-19 02:41:20,982 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_deadlock_detector_test.cc' 2024-01-19 02:41:20,982 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_flags_test.cc' 2024-01-19 02:41:20,982 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_format_interceptor_test.cc' 2024-01-19 02:41:20,982 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_ioctl_test.cc' 2024-01-19 02:41:20,983 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_libc_test.cc' 2024-01-19 02:41:20,983 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_linux_test.cc' 2024-01-19 02:41:20,983 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_list_test.cc' 2024-01-19 02:41:20,983 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_mutex_test.cc' 2024-01-19 02:41:20,984 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_nolibc_test.cc' 2024-01-19 02:41:20,984 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_nolibc_test_main.cc' 2024-01-19 02:41:20,984 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_posix_test.cc' 2024-01-19 02:41:20,984 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_printf_test.cc' 2024-01-19 02:41:20,984 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_procmaps_test.cc' 2024-01-19 02:41:20,985 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_pthread_wrappers.h' 2024-01-19 02:41:20,985 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stackdepot_test.cc' 2024-01-19 02:41:20,985 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stacktrace_printer_test.cc' 2024-01-19 02:41:20,985 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stacktrace_test.cc' 2024-01-19 02:41:20,985 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stoptheworld_test.cc' 2024-01-19 02:41:20,986 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_stoptheworld_testlib.cc' 2024-01-19 02:41:20,986 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_suppressions_test.cc' 2024-01-19 02:41:20,986 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_symbolizer_test.cc' 2024-01-19 02:41:20,986 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_config.h' 2024-01-19 02:41:20,987 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_main.cc' 2024-01-19 02:41:20,987 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_test_utils.h' 2024-01-19 02:41:20,987 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/sanitizer_thread_registry_test.cc' 2024-01-19 02:41:20,987 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/sanitizer_common/tests/standalone_malloc_test.cc' 2024-01-19 02:41:20,988 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/CMakeLists.txt' 2024-01-19 02:41:20,988 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/Makefile.old' 2024-01-19 02:41:20,988 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/analyze_libtsan.sh' 2024-01-19 02:41:20,988 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/check_analyze.sh' 2024-01-19 02:41:20,988 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/check_cmake.sh' 2024-01-19 02:41:20,989 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/check_memcpy.sh' 2024-01-19 02:41:20,989 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/benchmarks/mini_bench_local.cc' 2024-01-19 02:41:20,989 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/benchmarks/mini_bench_shared.cc' 2024-01-19 02:41:20,989 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/benchmarks/start_many_threads.cc' 2024-01-19 02:41:20,989 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/benchmarks/vts_many_threads_bench.cc' 2024-01-19 02:41:20,990 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/dd/CMakeLists.txt' 2024-01-19 02:41:20,990 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/dd/dd_interceptors.cc' 2024-01-19 02:41:20,990 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/dd/dd_rtl.cc' 2024-01-19 02:41:20,990 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/dd/dd_rtl.h' 2024-01-19 02:41:20,991 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/go/build.bat' 2024-01-19 02:41:20,991 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/go/buildgo.sh' 2024-01-19 02:41:20,991 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/go/test.c' 2024-01-19 02:41:20,991 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/go/tsan_go.cc' 2024-01-19 02:41:20,992 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/Makefile.old' 2024-01-19 02:41:20,992 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan.syms.extra' 2024-01-19 02:41:20,992 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_clock.cc' 2024-01-19 02:41:20,992 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_clock.h' 2024-01-19 02:41:20,993 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_defs.h' 2024-01-19 02:41:20,993 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_dense_alloc.h' 2024-01-19 02:41:20,993 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_fd.cc' 2024-01-19 02:41:20,993 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_fd.h' 2024-01-19 02:41:20,994 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.cc' 2024-01-19 02:41:20,994 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.h' 2024-01-19 02:41:20,994 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_flags.inc' 2024-01-19 02:41:20,994 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_ignoreset.cc' 2024-01-19 02:41:20,994 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_ignoreset.h' 2024-01-19 02:41:20,995 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interceptors.cc' 2024-01-19 02:41:20,995 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interceptors.h' 2024-01-19 02:41:20,996 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface.cc' 2024-01-19 02:41:20,996 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface.h' 2024-01-19 02:41:20,996 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_ann.cc' 2024-01-19 02:41:20,996 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_ann.h' 2024-01-19 02:41:20,997 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_atomic.cc' 2024-01-19 02:41:20,997 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_inl.h' 2024-01-19 02:41:20,997 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_java.cc' 2024-01-19 02:41:20,997 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_interface_java.h' 2024-01-19 02:41:20,998 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_malloc_mac.cc' 2024-01-19 02:41:20,998 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_md5.cc' 2024-01-19 02:41:20,998 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mman.cc' 2024-01-19 02:41:20,998 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mman.h' 2024-01-19 02:41:20,999 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutex.cc' 2024-01-19 02:41:20,999 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutex.h' 2024-01-19 02:41:20,999 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutexset.cc' 2024-01-19 02:41:20,999 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_mutexset.h' 2024-01-19 02:41:20,999 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_new_delete.cc' 2024-01-19 02:41:21,000 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform.h' 2024-01-19 02:41:21,000 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_linux.cc' 2024-01-19 02:41:21,000 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_mac.cc' 2024-01-19 02:41:21,001 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_posix.cc' 2024-01-19 02:41:21,001 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_platform_windows.cc' 2024-01-19 02:41:21,001 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_report.cc' 2024-01-19 02:41:21,001 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_report.h' 2024-01-19 02:41:21,002 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl.cc' 2024-01-19 02:41:21,002 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl.h' 2024-01-19 02:41:21,002 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_amd64.S' 2024-01-19 02:41:21,003 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_mutex.cc' 2024-01-19 02:41:21,003 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_report.cc' 2024-01-19 02:41:21,003 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_rtl_thread.cc' 2024-01-19 02:41:21,004 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stack_trace.cc' 2024-01-19 02:41:21,004 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stack_trace.h' 2024-01-19 02:41:21,004 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stat.cc' 2024-01-19 02:41:21,004 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_stat.h' 2024-01-19 02:41:21,005 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_suppressions.cc' 2024-01-19 02:41:21,005 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_suppressions.h' 2024-01-19 02:41:21,005 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_symbolize.cc' 2024-01-19 02:41:21,005 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_symbolize.h' 2024-01-19 02:41:21,005 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_sync.cc' 2024-01-19 02:41:21,006 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_sync.h' 2024-01-19 02:41:21,006 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_trace.h' 2024-01-19 02:41:21,006 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_update_shadow_word_inl.h' 2024-01-19 02:41:21,006 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/rtl/tsan_vector.h' 2024-01-19 02:41:21,007 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/CMakeLists.txt' 2024-01-19 02:41:21,007 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/CMakeLists.txt' 2024-01-19 02:41:21,007 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_bench.cc' 2024-01-19 02:41:21,007 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_mop.cc' 2024-01-19 02:41:21,007 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_mutex.cc' 2024-01-19 02:41:21,008 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_posix.cc' 2024-01-19 02:41:21,008 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_string.cc' 2024-01-19 02:41:21,008 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test.cc' 2024-01-19 02:41:21,008 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test_util.h' 2024-01-19 02:41:21,009 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_test_util_linux.cc' 2024-01-19 02:41:21,009 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/rtl/tsan_thread.cc' 2024-01-19 02:41:21,009 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/CMakeLists.txt' 2024-01-19 02:41:21,009 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_clock_test.cc' 2024-01-19 02:41:21,010 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_dense_alloc_test.cc' 2024-01-19 02:41:21,010 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_flags_test.cc' 2024-01-19 02:41:21,010 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mman_test.cc' 2024-01-19 02:41:21,010 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mutex_test.cc' 2024-01-19 02:41:21,011 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_mutexset_test.cc' 2024-01-19 02:41:21,011 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_shadow_test.cc' 2024-01-19 02:41:21,011 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_stack_test.cc' 2024-01-19 02:41:21,011 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_sync_test.cc' 2024-01-19 02:41:21,011 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_unit_test_main.cc' 2024-01-19 02:41:21,012 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/tsan/tests/unit/tsan_vector_test.cc' 2024-01-19 02:41:21,012 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/CMakeLists.txt' 2024-01-19 02:41:21,012 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/Makefile.mk' 2024-01-19 02:41:21,012 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan.syms.extra' 2024-01-19 02:41:21,012 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_checks.inc' 2024-01-19 02:41:21,013 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_diag.cc' 2024-01-19 02:41:21,013 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_diag.h' 2024-01-19 02:41:21,013 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.cc' 2024-01-19 02:41:21,013 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.h' 2024-01-19 02:41:21,014 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_flags.inc' 2024-01-19 02:41:21,014 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers.cc' 2024-01-19 02:41:21,014 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers.h' 2024-01-19 02:41:21,014 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers_cxx.cc' 2024-01-19 02:41:21,015 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_handlers_cxx.h' 2024-01-19 02:41:21,015 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init.cc' 2024-01-19 02:41:21,015 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init.h' 2024-01-19 02:41:21,015 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_init_standalone.cc' 2024-01-19 02:41:21,016 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_platform.h' 2024-01-19 02:41:21,016 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash.cc' 2024-01-19 02:41:21,016 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash.h' 2024-01-19 02:41:21,016 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash_itanium.cc' 2024-01-19 02:41:21,016 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_type_hash_win.cc' 2024-01-19 02:41:21,017 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_value.cc' 2024-01-19 02:41:21,017 wheel INFO adding 'pythondata_software_compiler_rt/data/lib/ubsan/ubsan_value.h' 2024-01-19 02:41:21,017 wheel INFO adding 'pythondata_software_compiler_rt/data/make/AppleBI.mk' 2024-01-19 02:41:21,017 wheel INFO adding 'pythondata_software_compiler_rt/data/make/config.mk' 2024-01-19 02:41:21,018 wheel INFO adding 'pythondata_software_compiler_rt/data/make/filter-inputs' 2024-01-19 02:41:21,018 wheel INFO adding 'pythondata_software_compiler_rt/data/make/lib_info.mk' 2024-01-19 02:41:21,018 wheel INFO adding 'pythondata_software_compiler_rt/data/make/lib_platforms.mk' 2024-01-19 02:41:21,018 wheel INFO adding 'pythondata_software_compiler_rt/data/make/lib_util.mk' 2024-01-19 02:41:21,018 wheel INFO adding 'pythondata_software_compiler_rt/data/make/options.mk' 2024-01-19 02:41:21,019 wheel INFO adding 'pythondata_software_compiler_rt/data/make/subdir.mk' 2024-01-19 02:41:21,019 wheel INFO adding 'pythondata_software_compiler_rt/data/make/util.mk' 2024-01-19 02:41:21,019 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/clang_darwin.mk' 2024-01-19 02:41:21,019 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/clang_darwin_test_input.c' 2024-01-19 02:41:21,020 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/clang_linux.mk' 2024-01-19 02:41:21,020 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/clang_linux_test_input.c' 2024-01-19 02:41:21,020 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/clang_macho_embedded.mk' 2024-01-19 02:41:21,020 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/clang_macho_embedded_test_input.c' 2024-01-19 02:41:21,021 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/darwin_bni.mk' 2024-01-19 02:41:21,021 wheel INFO adding 'pythondata_software_compiler_rt/data/make/platform/multi_arch.mk' 2024-01-19 02:41:21,021 wheel INFO adding 'pythondata_software_compiler_rt/data/make/test/test-util.mk' 2024-01-19 02:41:21,021 wheel INFO adding 'pythondata_software_compiler_rt/data/test/CMakeLists.txt' 2024-01-19 02:41:21,022 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lit.common.cfg' 2024-01-19 02:41:21,022 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lit.common.configured.in' 2024-01-19 02:41:21,022 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/block-static.c' 2024-01-19 02:41:21,022 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/blockimport.c' 2024-01-19 02:41:21,022 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefaccess.c' 2024-01-19 02:41:21,023 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopy.c' 2024-01-19 02:41:21,023 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopycopy.c' 2024-01-19 02:41:21,023 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopyinner.c' 2024-01-19 02:41:21,023 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopyint.c' 2024-01-19 02:41:21,023 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefcopystack.c' 2024-01-19 02:41:21,024 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefsanity.c' 2024-01-19 02:41:21,024 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/byrefstruct.c' 2024-01-19 02:41:21,024 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/c99.c' 2024-01-19 02:41:21,024 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/cast.c' 2024-01-19 02:41:21,024 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/constassign.c' 2024-01-19 02:41:21,025 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/copy-block-literal-rdar6439600.c' 2024-01-19 02:41:21,025 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/copyconstructor.C' 2024-01-19 02:41:21,025 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/copynull.c' 2024-01-19 02:41:21,025 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/dispatch_async.c' 2024-01-19 02:41:21,025 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/dispatch_call_Block_with_release.c' 2024-01-19 02:41:21,026 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/fail.c' 2024-01-19 02:41:21,026 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/flagsisa.c' 2024-01-19 02:41:21,026 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/globalexpression.c' 2024-01-19 02:41:21,026 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/goto.c' 2024-01-19 02:41:21,026 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/hasdescriptor.c' 2024-01-19 02:41:21,027 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/josh.C' 2024-01-19 02:41:21,027 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/k-and-r.c' 2024-01-19 02:41:21,027 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/large-struct.c' 2024-01-19 02:41:21,027 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/localisglobal.c' 2024-01-19 02:41:21,028 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/macro.c' 2024-01-19 02:41:21,028 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/makefile' 2024-01-19 02:41:21,028 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/modglobal.c' 2024-01-19 02:41:21,028 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/nestedimport.c' 2024-01-19 02:41:21,028 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/nullblockisa.c' 2024-01-19 02:41:21,029 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/objectRRGC.c' 2024-01-19 02:41:21,029 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/objectassign.c' 2024-01-19 02:41:21,029 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/orbars.c' 2024-01-19 02:41:21,029 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6396238.c' 2024-01-19 02:41:21,029 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6405500.c' 2024-01-19 02:41:21,030 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/rdar6414583.c' 2024-01-19 02:41:21,030 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/recursive-block.c' 2024-01-19 02:41:21,030 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/recursive-test.c' 2024-01-19 02:41:21,030 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/recursiveassign.c' 2024-01-19 02:41:21,030 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/reference.C' 2024-01-19 02:41:21,031 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/rettypepromotion.c' 2024-01-19 02:41:21,031 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/returnfunctionptr.c' 2024-01-19 02:41:21,031 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/shorthandexpression.c' 2024-01-19 02:41:21,031 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/sizeof.c' 2024-01-19 02:41:21,031 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/small-struct.c' 2024-01-19 02:41:21,032 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/structmember.c' 2024-01-19 02:41:21,032 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/testfilerunner.h' 2024-01-19 02:41:21,032 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/testfilerunner.m' 2024-01-19 02:41:21,032 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/varargs-bad-assign.c' 2024-01-19 02:41:21,033 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/varargs.c' 2024-01-19 02:41:21,033 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/variadic.c' 2024-01-19 02:41:21,033 wheel INFO adding 'pythondata_software_compiler_rt/data/test/BlocksRuntime/voidarg.c' 2024-01-19 02:41:21,033 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/CMakeLists.txt' 2024-01-19 02:41:21,033 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/lit.cfg' 2024-01-19 02:41:21,034 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/lit.site.cfg.in' 2024-01-19 02:41:21,034 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_big_alignment.cc' 2024-01-19 02:41:21,034 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_detect_custom_size_.cc' 2024-01-19 02:41:21,035 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_instruments_all_paddings.cc' 2024-01-19 02:41:21,035 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_loop_unpoisoning.cc' 2024-01-19 02:41:21,035 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_overflow_partial.cc' 2024-01-19 02:41:21,035 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_overflow_right.cc' 2024-01-19 02:41:21,035 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_safe_access.cc' 2024-01-19 02:41:21,036 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_underflow_left.cc' 2024-01-19 02:41:21,036 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/alloca_vla_interact.cc' 2024-01-19 02:41:21,036 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/allocator_returns_null.cc' 2024-01-19 02:41:21,036 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/asan_and_llvm_coverage_test.cc' 2024-01-19 02:41:21,036 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/asan_options-help.cc' 2024-01-19 02:41:21,037 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/atexit_stats.cc' 2024-01-19 02:41:21,037 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/atoi_strict.c' 2024-01-19 02:41:21,037 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/atol_strict.c' 2024-01-19 02:41:21,037 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/atoll_strict.c' 2024-01-19 02:41:21,037 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/blacklist.cc' 2024-01-19 02:41:21,038 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/contiguous_container.cc' 2024-01-19 02:41:21,038 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/contiguous_container_crash.cc' 2024-01-19 02:41:21,038 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-and-lsan.cc' 2024-01-19 02:41:21,038 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-caller-callee-total-count.cc' 2024-01-19 02:41:21,038 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-disabled.cc' 2024-01-19 02:41:21,039 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-levels.cc' 2024-01-19 02:41:21,039 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-order-pcs.cc' 2024-01-19 02:41:21,039 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-reset.cc' 2024-01-19 02:41:21,039 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/coverage-tracing.cc' 2024-01-19 02:41:21,039 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/debug_locate.cc' 2024-01-19 02:41:21,040 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/debug_mapping.cc' 2024-01-19 02:41:21,041 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/debug_ppc64_mapping.cc' 2024-01-19 02:41:21,041 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/debug_report.cc' 2024-01-19 02:41:21,041 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/debug_stacks.cc' 2024-01-19 02:41:21,041 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/deep_stack_uaf.cc' 2024-01-19 02:41:21,042 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/deep_tail_call.cc' 2024-01-19 02:41:21,042 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/default_blacklist.cc' 2024-01-19 02:41:21,042 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/default_options.cc' 2024-01-19 02:41:21,042 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/describe_address.cc' 2024-01-19 02:41:21,043 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/double-free.cc' 2024-01-19 02:41:21,043 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/dump_instruction_bytes.cc' 2024-01-19 02:41:21,043 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/force_inline_opt0.cc' 2024-01-19 02:41:21,043 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/frexp_interceptor.cc' 2024-01-19 02:41:21,043 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/global-demangle.cc' 2024-01-19 02:41:21,044 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/global-location.cc' 2024-01-19 02:41:21,044 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/global-overflow.cc' 2024-01-19 02:41:21,044 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/heap-overflow-large.cc' 2024-01-19 02:41:21,044 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/heap-overflow.cc' 2024-01-19 02:41:21,044 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/heavy_uar_test.cc' 2024-01-19 02:41:21,045 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/huge_negative_hea_oob.cc' 2024-01-19 02:41:21,045 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/init-order-atexit.cc' 2024-01-19 02:41:21,045 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-blacklist.cc' 2024-01-19 02:41:21,045 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-bug.cc' 2024-01-19 02:41:21,045 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-constexpr.cc' 2024-01-19 02:41:21,046 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/initialization-nobug.cc' 2024-01-19 02:41:21,046 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/inline.cc' 2024-01-19 02:41:21,046 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/interception_failure_test.cc' 2024-01-19 02:41:21,046 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/interface_test.cc' 2024-01-19 02:41:21,046 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/intra-object-overflow.cc' 2024-01-19 02:41:21,047 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/invalid-free.cc' 2024-01-19 02:41:21,047 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/large_func_test.cc' 2024-01-19 02:41:21,047 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/log-path_test.cc' 2024-01-19 02:41:21,047 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/longjmp.cc' 2024-01-19 02:41:21,047 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/lsan_annotations.cc' 2024-01-19 02:41:21,048 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/malloc_context_size.cc' 2024-01-19 02:41:21,048 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/malloc_fill.cc' 2024-01-19 02:41:21,048 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/max_redzone.cc' 2024-01-19 02:41:21,048 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/memcmp_strict_test.cc' 2024-01-19 02:41:21,048 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/memcmp_test.cc' 2024-01-19 02:41:21,049 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/memset_test.cc' 2024-01-19 02:41:21,049 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/mmap_limit_mb.cc' 2024-01-19 02:41:21,049 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/no_asan_gen_globals.c' 2024-01-19 02:41:21,049 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/null_deref.cc' 2024-01-19 02:41:21,049 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/on_error_callback.cc' 2024-01-19 02:41:21,050 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/partial_right.cc' 2024-01-19 02:41:21,050 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/poison_partial.cc' 2024-01-19 02:41:21,050 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/print_summary.cc' 2024-01-19 02:41:21,050 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/printf-1.c' 2024-01-19 02:41:21,050 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/printf-2.c' 2024-01-19 02:41:21,051 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/printf-3.c' 2024-01-19 02:41:21,051 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/printf-4.c' 2024-01-19 02:41:21,051 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/printf-5.c' 2024-01-19 02:41:21,051 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/sanity_check_pure_c.c' 2024-01-19 02:41:21,051 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/sleep_before_dying.c' 2024-01-19 02:41:21,052 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/speculative_load.cc' 2024-01-19 02:41:21,052 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/stack-buffer-overflow-with-position.cc' 2024-01-19 02:41:21,052 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/stack-buffer-overflow.cc' 2024-01-19 02:41:21,052 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/stack-frame-demangle.cc' 2024-01-19 02:41:21,052 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/stack-oob-frames.cc' 2024-01-19 02:41:21,053 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr-1.c' 2024-01-19 02:41:21,053 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr-2.c' 2024-01-19 02:41:21,053 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcasestr_strict.c' 2024-01-19 02:41:21,053 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcat_strict.c' 2024-01-19 02:41:21,053 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strchr_strict.c' 2024-01-19 02:41:21,054 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcmp_strict.c' 2024-01-19 02:41:21,054 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn-1.c' 2024-01-19 02:41:21,054 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn-2.c' 2024-01-19 02:41:21,054 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strcspn_strict.c' 2024-01-19 02:41:21,055 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strdup_oob_test.cc' 2024-01-19 02:41:21,055 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strip_path_prefix.c' 2024-01-19 02:41:21,055 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strncat_strict.c' 2024-01-19 02:41:21,055 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strncpy-overflow.cc' 2024-01-19 02:41:21,055 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk-1.c' 2024-01-19 02:41:21,056 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk-2.c' 2024-01-19 02:41:21,056 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strpbrk_strict.c' 2024-01-19 02:41:21,056 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strspn-1.c' 2024-01-19 02:41:21,056 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strspn-2.c' 2024-01-19 02:41:21,056 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strspn_strict.c' 2024-01-19 02:41:21,057 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strstr-1.c' 2024-01-19 02:41:21,057 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strstr-2.c' 2024-01-19 02:41:21,057 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strstr_strict.c' 2024-01-19 02:41:21,057 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strtol_strict.c' 2024-01-19 02:41:21,057 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/strtoll_strict.c' 2024-01-19 02:41:21,058 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-exec-relative-location.cc' 2024-01-19 02:41:21,058 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-function.cc' 2024-01-19 02:41:21,058 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-interceptor.cc' 2024-01-19 02:41:21,058 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/suppressions-library.cc' 2024-01-19 02:41:21,058 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/throw_call_test.cc' 2024-01-19 02:41:21,059 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/throw_catch.cc' 2024-01-19 02:41:21,059 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/throw_invoke_test.cc' 2024-01-19 02:41:21,059 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/time_interceptor.cc' 2024-01-19 02:41:21,059 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/uar_and_exceptions.cc' 2024-01-19 02:41:21,059 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/unaligned_loads_and_stores.cc' 2024-01-19 02:41:21,060 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-delete.cc' 2024-01-19 02:41:21,060 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-free-right.cc' 2024-01-19 02:41:21,060 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-free.cc' 2024-01-19 02:41:21,060 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-poison.cc' 2024-01-19 02:41:21,061 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-dtor-order.cc' 2024-01-19 02:41:21,061 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-inlined.cc' 2024-01-19 02:41:21,061 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-nobug.cc' 2024-01-19 02:41:21,061 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope-temp.cc' 2024-01-19 02:41:21,061 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/use-after-scope.cc' 2024-01-19 02:41:21,062 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/verbose-log-path_test.cc' 2024-01-19 02:41:21,062 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/vla_chrome_testcase.cc' 2024-01-19 02:41:21,062 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/vla_condition_overflow.cc' 2024-01-19 02:41:21,062 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/vla_loop_overfow.cc' 2024-01-19 02:41:21,062 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/zero_page_pc.cc' 2024-01-19 02:41:21,063 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Android/coverage-android.cc' 2024-01-19 02:41:21,063 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Android/lit.local.cfg' 2024-01-19 02:41:21,063 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/abort_on_error.cc' 2024-01-19 02:41:21,063 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/address-range-limit.mm' 2024-01-19 02:41:21,064 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/asan_gen_prefixes.cc' 2024-01-19 02:41:21,064 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/atos-symbolizer-dyld-root-path.cc' 2024-01-19 02:41:21,064 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/atos-symbolizer.cc' 2024-01-19 02:41:21,064 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/crashlog-stacktraces.c' 2024-01-19 02:41:21,064 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/cstring_literals_regtest.mm' 2024-01-19 02:41:21,065 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dladdr-demangling.cc' 2024-01-19 02:41:21,065 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dyld_insert_libraries_reexec.cc' 2024-01-19 02:41:21,065 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/dyld_insert_libraries_remove.cc' 2024-01-19 02:41:21,065 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/empty-section.cc' 2024-01-19 02:41:21,065 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/interface_symbols_darwin.c' 2024-01-19 02:41:21,066 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/linked-only.cc' 2024-01-19 02:41:21,066 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/lit.local.cfg' 2024-01-19 02:41:21,066 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/malloc_set_zone_name-mprotect.cc' 2024-01-19 02:41:21,066 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/malloc_zone-protected.cc' 2024-01-19 02:41:21,066 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/mixing-global-constructors.cc' 2024-01-19 02:41:21,067 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/objc-odr.mm' 2024-01-19 02:41:21,067 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/reexec-insert-libraries-env.cc' 2024-01-19 02:41:21,067 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/sandbox-symbolizer.cc' 2024-01-19 02:41:21,067 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/suppressions-darwin.cc' 2024-01-19 02:41:21,067 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/suppressions-sandbox.cc' 2024-01-19 02:41:21,068 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Darwin/unset-insert-libraries-on-exec.cc' 2024-01-19 02:41:21,068 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/blacklist-extra.cc' 2024-01-19 02:41:21,068 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/echo-env.cc' 2024-01-19 02:41:21,068 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/init-order-atexit-extra.cc' 2024-01-19 02:41:21,068 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist-extra.cc' 2024-01-19 02:41:21,069 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist-extra2.cc' 2024-01-19 02:41:21,069 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-blacklist.txt' 2024-01-19 02:41:21,069 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-bug-extra.cc' 2024-01-19 02:41:21,069 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-bug-extra2.cc' 2024-01-19 02:41:21,069 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-constexpr-extra.cc' 2024-01-19 02:41:21,070 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/initialization-nobug-extra.cc' 2024-01-19 02:41:21,070 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Helpers/lit.local.cfg' 2024-01-19 02:41:21,070 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/abort_on_error.cc' 2024-01-19 02:41:21,070 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/activation-options.cc' 2024-01-19 02:41:21,071 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan-asm-stacktrace-test.cc' 2024-01-19 02:41:21,071 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_default_suppressions.cc' 2024-01-19 02:41:21,071 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_dlopen_test.cc' 2024-01-19 02:41:21,071 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_prelink_test.cc' 2024-01-19 02:41:21,071 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_preload_test-1.cc' 2024-01-19 02:41:21,072 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_preload_test-2.cc' 2024-01-19 02:41:21,072 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_rt_confict_test-1.cc' 2024-01-19 02:41:21,072 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/asan_rt_confict_test-2.cc' 2024-01-19 02:41:21,072 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/clang_gcc_abi.cc' 2024-01-19 02:41:21,072 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/clone_test.cc' 2024-01-19 02:41:21,073 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/coverage-missing.cc' 2024-01-19 02:41:21,073 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/function-sections-are-bad.cc' 2024-01-19 02:41:21,073 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/globals-gc-sections.cc' 2024-01-19 02:41:21,073 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/init-order-dlopen.cc' 2024-01-19 02:41:21,073 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/init_fini_sections.cc' 2024-01-19 02:41:21,074 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/initialization-bug-any-order.cc' 2024-01-19 02:41:21,074 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_malloc_test.cc' 2024-01-19 02:41:21,074 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_readdir_r_test.cc' 2024-01-19 02:41:21,074 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interception_test.cc' 2024-01-19 02:41:21,075 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/interface_symbols_linux.c' 2024-01-19 02:41:21,075 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/kernel-area.cc' 2024-01-19 02:41:21,075 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/leak.cc' 2024-01-19 02:41:21,075 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/leak_check_segv.cc' 2024-01-19 02:41:21,075 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/lit.local.cfg' 2024-01-19 02:41:21,076 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/malloc-in-qsort.cc' 2024-01-19 02:41:21,076 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/malloc_delete_mismatch.cc' 2024-01-19 02:41:21,076 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/nohugepage_test.cc' 2024-01-19 02:41:21,076 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/odr-violation.cc' 2024-01-19 02:41:21,076 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/overflow-in-qsort.cc' 2024-01-19 02:41:21,077 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/preinit_test.cc' 2024-01-19 02:41:21,077 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/pthread_create_version.cc' 2024-01-19 02:41:21,077 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/ptrace.cc' 2024-01-19 02:41:21,077 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/quarantine_size_mb.cc' 2024-01-19 02:41:21,077 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/read_binary_name_regtest.c' 2024-01-19 02:41:21,078 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/rlimit_mmap_test.cc' 2024-01-19 02:41:21,078 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/shmctl.cc' 2024-01-19 02:41:21,078 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/signal_during_stop_the_world.cc' 2024-01-19 02:41:21,078 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/sized_delete_test.cc' 2024-01-19 02:41:21,078 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stack-overflow-sigbus.cc' 2024-01-19 02:41:21,079 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stack-trace-dlclose.cc' 2024-01-19 02:41:21,079 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/static_tls.cc' 2024-01-19 02:41:21,079 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/stress_dtls.c' 2024-01-19 02:41:21,079 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/swapcontext_test.cc' 2024-01-19 02:41:21,080 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/syscalls.cc' 2024-01-19 02:41:21,080 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/uar_signals.cc' 2024-01-19 02:41:21,080 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Linux/unpoison_tls.cc' 2024-01-19 02:41:21,080 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/allow_user_segv.cc' 2024-01-19 02:41:21,080 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asan-symbolize-bad-path.cc' 2024-01-19 02:41:21,081 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asan-symbolize-sanity-test.cc' 2024-01-19 02:41:21,081 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/asprintf.cc' 2024-01-19 02:41:21,081 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/assign_large_valloc_to_global.cc' 2024-01-19 02:41:21,081 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/closed-fds.cc' 2024-01-19 02:41:21,082 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-caller-callee.cc' 2024-01-19 02:41:21,082 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct-activation.cc' 2024-01-19 02:41:21,082 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct-large.cc' 2024-01-19 02:41:21,082 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-direct.cc' 2024-01-19 02:41:21,082 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-fork-direct.cc' 2024-01-19 02:41:21,083 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-fork.cc' 2024-01-19 02:41:21,083 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-maybe-open-file.cc' 2024-01-19 02:41:21,083 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-module-unloaded.cc' 2024-01-19 02:41:21,083 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage-sandboxing.cc' 2024-01-19 02:41:21,083 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/coverage.cc' 2024-01-19 02:41:21,084 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/current_allocated_bytes.cc' 2024-01-19 02:41:21,084 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/deep_call_stack.cc' 2024-01-19 02:41:21,084 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/deep_thread_stack.cc' 2024-01-19 02:41:21,084 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/dlclose-test.cc' 2024-01-19 02:41:21,084 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/free_hook_realloc.cc' 2024-01-19 02:41:21,085 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/freopen.cc' 2024-01-19 02:41:21,085 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/gc-test.cc' 2024-01-19 02:41:21,085 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob.cc' 2024-01-19 02:41:21,085 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/init-order-pthread-create.cc' 2024-01-19 02:41:21,085 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/interception-in-shared-lib-test.cc' 2024-01-19 02:41:21,086 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/ioctl.cc' 2024-01-19 02:41:21,086 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/large_allocator_unpoisons_on_free.cc' 2024-01-19 02:41:21,086 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/lit.local.cfg' 2024-01-19 02:41:21,086 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/log_path_fork_test.cc.disabled' 2024-01-19 02:41:21,087 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_test.cc' 2024-01-19 02:41:21,087 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_uaf_test.cc' 2024-01-19 02:41:21,087 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/new_array_cookie_with_new_from_class.cc' 2024-01-19 02:41:21,087 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/readv.cc' 2024-01-19 02:41:21,087 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/shared-lib-test.cc' 2024-01-19 02:41:21,088 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/stack-overflow.cc' 2024-01-19 02:41:21,088 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/stack-use-after-return.cc' 2024-01-19 02:41:21,088 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/start-deactivated.cc' 2024-01-19 02:41:21,088 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/strerror_r_test.cc' 2024-01-19 02:41:21,088 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/tsd_dtor_leak.cc' 2024-01-19 02:41:21,089 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait.cc' 2024-01-19 02:41:21,089 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait3.cc' 2024-01-19 02:41:21,089 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/wait4.cc' 2024-01-19 02:41:21,089 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/waitid.cc' 2024-01-19 02:41:21,089 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/aa' 2024-01-19 02:41:21,090 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/ab' 2024-01-19 02:41:21,090 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Posix/glob_test_root/ba' 2024-01-19 02:41:21,090 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/aligned_mallocs.cc' 2024-01-19 02:41:21,090 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/allocators_sanity.cc' 2024-01-19 02:41:21,091 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/beginthreadex.cc' 2024-01-19 02:41:21,091 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bind_io_completion_callback.cc' 2024-01-19 02:41:21,091 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bitfield.cc' 2024-01-19 02:41:21,091 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/bitfield_uaf.cc' 2024-01-19 02:41:21,092 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_left_oob.cc' 2024-01-19 02:41:21,092 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_right_oob.cc' 2024-01-19 02:41:21,092 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/calloc_uaf.cc' 2024-01-19 02:41:21,092 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/coverage-basic.cc' 2024-01-19 02:41:21,092 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/crt_initializers.cc' 2024-01-19 02:41:21,093 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/default_options.cc' 2024-01-19 02:41:21,093 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/demangled_names.cc' 2024-01-19 02:41:21,093 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_aligned_mallocs.cc' 2024-01-19 02:41:21,093 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_allocators_sanity.cc' 2024-01-19 02:41:21,093 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_and_lib.cc' 2024-01-19 02:41:21,094 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_cerr.cc' 2024-01-19 02:41:21,094 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_control_c.cc' 2024-01-19 02:41:21,094 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_host.cc' 2024-01-19 02:41:21,094 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memchr.cc' 2024-01-19 02:41:21,094 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memcpy.cc' 2024-01-19 02:41:21,095 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memcpy_indirect.cc' 2024-01-19 02:41:21,095 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_memset.cc' 2024-01-19 02:41:21,095 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_intercept_strlen.cc' 2024-01-19 02:41:21,095 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_large_function.cc' 2024-01-19 02:41:21,095 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_malloc_left_oob.cc' 2024-01-19 02:41:21,096 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_malloc_uaf.cc' 2024-01-19 02:41:21,096 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_noreturn.cc' 2024-01-19 02:41:21,096 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_null_deref.cc' 2024-01-19 02:41:21,096 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_operator_array_new_left_oob.cc' 2024-01-19 02:41:21,096 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_operator_array_new_with_dtor_left_oob.cc' 2024-01-19 02:41:21,097 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_poison_unpoison.cc' 2024-01-19 02:41:21,097 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_report_globals_symbolization_at_startup.cc' 2024-01-19 02:41:21,097 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_seh.cc' 2024-01-19 02:41:21,097 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_stack_use_after_return.cc' 2024-01-19 02:41:21,097 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/dll_thread_stack_array_left_oob.cc' 2024-01-19 02:41:21,098 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/double_free.cc' 2024-01-19 02:41:21,098 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/double_operator_delete.cc' 2024-01-19 02:41:21,098 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/free_hook_realloc.cc' 2024-01-19 02:41:21,099 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/fuse-lld.cc' 2024-01-19 02:41:21,099 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/global_const_string.cc' 2024-01-19 02:41:21,099 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/global_const_string_oob.cc' 2024-01-19 02:41:21,099 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/hello_world.cc' 2024-01-19 02:41:21,099 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_memcpy.cc' 2024-01-19 02:41:21,100 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_strdup.cc' 2024-01-19 02:41:21,100 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/intercept_strlen.cc' 2024-01-19 02:41:21,100 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/iostream_sbo.cc' 2024-01-19 02:41:21,100 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/lit.local.cfg' 2024-01-19 02:41:21,100 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/longjmp.cc' 2024-01-19 02:41:21,101 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_left_oob.cc' 2024-01-19 02:41:21,101 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_right_oob.cc' 2024-01-19 02:41:21,101 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/malloc_uaf.cc' 2024-01-19 02:41:21,101 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/null_deref.cc' 2024-01-19 02:41:21,101 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/null_deref_multiple_dlls.cc' 2024-01-19 02:41:21,102 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/on_error_callback.cc' 2024-01-19 02:41:21,102 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/oom.cc' 2024-01-19 02:41:21,102 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_left_oob.cc' 2024-01-19 02:41:21,102 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_right_oob.cc' 2024-01-19 02:41:21,102 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_uaf.cc' 2024-01-19 02:41:21,103 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_array_new_with_dtor_left_oob.cc' 2024-01-19 02:41:21,103 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_delete_wrong_argument.cc' 2024-01-19 02:41:21,103 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_left_oob.cc' 2024-01-19 02:41:21,103 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_right_oob.cc' 2024-01-19 02:41:21,103 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/operator_new_uaf.cc' 2024-01-19 02:41:21,104 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/queue_user_work_item.cc' 2024-01-19 02:41:21,104 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/queue_user_work_item_report.cc' 2024-01-19 02:41:21,104 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_left_oob.cc' 2024-01-19 02:41:21,104 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_right_oob.cc' 2024-01-19 02:41:21,104 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/realloc_uaf.cc' 2024-01-19 02:41:21,105 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_after_syminitialize.cc' 2024-01-19 02:41:21,105 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_globals_reload_dll.cc' 2024-01-19 02:41:21,105 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/report_globals_vs_freelibrary.cc' 2024-01-19 02:41:21,105 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/seh.cc' 2024-01-19 02:41:21,105 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/shadow_mapping_failure.cc' 2024-01-19 02:41:21,106 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_left_oob.cc' 2024-01-19 02:41:21,106 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_right_oob.cc' 2024-01-19 02:41:21,106 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_array_sanity.cc' 2024-01-19 02:41:21,106 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/stack_use_after_return.cc' 2024-01-19 02:41:21,106 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/symbols_path.cc' 2024-01-19 02:41:21,107 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_simple.cc' 2024-01-19 02:41:21,107 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_array_left_oob.cc' 2024-01-19 02:41:21,107 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_array_right_oob.cc' 2024-01-19 02:41:21,107 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stack_reuse.cc' 2024-01-19 02:41:21,107 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_stress.cc' 2024-01-19 02:41:21,108 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/thread_suspended.cc' 2024-01-19 02:41:21,108 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/throw_catch.cc' 2024-01-19 02:41:21,108 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/unsymbolized.cc' 2024-01-19 02:41:21,108 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/use_after_realloc.cc' 2024-01-19 02:41:21,108 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/use_after_return_linkage.cc' 2024-01-19 02:41:21,109 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/windows_h.cc' 2024-01-19 02:41:21,109 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/wrong_downcast_on_heap.cc' 2024-01-19 02:41:21,109 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/TestCases/Windows/wrong_downcast_on_stack.cc' 2024-01-19 02:41:21,109 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/Unit/lit.site.cfg.in' 2024-01-19 02:41:21,110 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/android_commands/android_common.py' 2024-01-19 02:41:21,110 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/android_commands/android_compile.py' 2024-01-19 02:41:21,110 wheel INFO adding 'pythondata_software_compiler_rt/data/test/asan/android_commands/android_run.py' 2024-01-19 02:41:21,110 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/absvdi2_test.c' 2024-01-19 02:41:21,111 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/absvsi2_test.c' 2024-01-19 02:41:21,111 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/absvti2_test.c' 2024-01-19 02:41:21,111 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/adddf3vfp_test.c' 2024-01-19 02:41:21,111 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/addsf3vfp_test.c' 2024-01-19 02:41:21,112 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/addtf3_test.c' 2024-01-19 02:41:21,112 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/addvdi3_test.c' 2024-01-19 02:41:21,112 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/addvsi3_test.c' 2024-01-19 02:41:21,112 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/addvti3_test.c' 2024-01-19 02:41:21,112 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ashldi3_test.c' 2024-01-19 02:41:21,113 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ashlti3_test.c' 2024-01-19 02:41:21,113 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ashrdi3_test.c' 2024-01-19 02:41:21,113 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ashrti3_test.c' 2024-01-19 02:41:21,113 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/bswapdi2_test.c' 2024-01-19 02:41:21,114 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/bswapsi2_test.c' 2024-01-19 02:41:21,114 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/clear_cache_test.c' 2024-01-19 02:41:21,114 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/clzdi2_test.c' 2024-01-19 02:41:21,114 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/clzsi2_test.c' 2024-01-19 02:41:21,115 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/clzti2_test.c' 2024-01-19 02:41:21,115 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/cmpdi2_test.c' 2024-01-19 02:41:21,115 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/cmpti2_test.c' 2024-01-19 02:41:21,115 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/comparedf2_test.c' 2024-01-19 02:41:21,116 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/comparesf2_test.c' 2024-01-19 02:41:21,116 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ctzdi2_test.c' 2024-01-19 02:41:21,116 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ctzsi2_test.c' 2024-01-19 02:41:21,116 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ctzti2_test.c' 2024-01-19 02:41:21,117 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divdc3_test.c' 2024-01-19 02:41:21,117 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divdf3vfp_test.c' 2024-01-19 02:41:21,117 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divdi3_test.c' 2024-01-19 02:41:21,117 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divmodsi4_test.c' 2024-01-19 02:41:21,118 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divsc3_test.c' 2024-01-19 02:41:21,118 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divsf3vfp_test.c' 2024-01-19 02:41:21,118 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divsi3_test.c' 2024-01-19 02:41:21,118 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divtc3_test.c' 2024-01-19 02:41:21,119 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divtf3_test.c' 2024-01-19 02:41:21,119 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divti3_test.c' 2024-01-19 02:41:21,119 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/divxc3_test.c' 2024-01-19 02:41:21,119 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/enable_execute_stack_test.c' 2024-01-19 02:41:21,119 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/endianness.h' 2024-01-19 02:41:21,120 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/eqdf2vfp_test.c' 2024-01-19 02:41:21,120 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/eqsf2vfp_test.c' 2024-01-19 02:41:21,120 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/eqtf2_test.c' 2024-01-19 02:41:21,120 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/extebdsfdf2vfp_test.c' 2024-01-19 02:41:21,121 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/extenddftf2_test.c' 2024-01-19 02:41:21,121 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/extendhfsf2_test.c' 2024-01-19 02:41:21,121 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/extendsftf2_test.c' 2024-01-19 02:41:21,121 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ffsdi2_test.c' 2024-01-19 02:41:21,121 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ffsti2_test.c' 2024-01-19 02:41:21,122 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfdi_test.c' 2024-01-19 02:41:21,122 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfsivfp_test.c' 2024-01-19 02:41:21,122 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixdfti_test.c' 2024-01-19 02:41:21,122 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfdi_test.c' 2024-01-19 02:41:21,122 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfsivfp_test.c' 2024-01-19 02:41:21,123 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixsfti_test.c' 2024-01-19 02:41:21,123 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfdi_test.c' 2024-01-19 02:41:21,123 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfsi_test.c' 2024-01-19 02:41:21,123 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixtfti_test.c' 2024-01-19 02:41:21,123 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfdi_test.c' 2024-01-19 02:41:21,124 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfsi_test.c' 2024-01-19 02:41:21,124 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfsivfp_test.c' 2024-01-19 02:41:21,124 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsdfti_test.c' 2024-01-19 02:41:21,124 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfdi_test.c' 2024-01-19 02:41:21,125 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfsi_test.c' 2024-01-19 02:41:21,125 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfsivfp_test.c' 2024-01-19 02:41:21,125 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunssfti_test.c' 2024-01-19 02:41:21,125 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfdi_test.c' 2024-01-19 02:41:21,125 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfsi_test.c' 2024-01-19 02:41:21,126 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunstfti_test.c' 2024-01-19 02:41:21,126 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfdi_test.c' 2024-01-19 02:41:21,126 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfsi_test.c' 2024-01-19 02:41:21,126 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixunsxfti_test.c' 2024-01-19 02:41:21,126 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixxfdi_test.c' 2024-01-19 02:41:21,127 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fixxfti_test.c' 2024-01-19 02:41:21,127 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatdidf_test.c' 2024-01-19 02:41:21,127 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatdisf_test.c' 2024-01-19 02:41:21,127 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatditf_test.c' 2024-01-19 02:41:21,128 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatdixf_test.c' 2024-01-19 02:41:21,128 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatsidfvfp_test.c' 2024-01-19 02:41:21,128 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatsisfvfp_test.c' 2024-01-19 02:41:21,128 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatsitf_test.c' 2024-01-19 02:41:21,128 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floattidf_test.c' 2024-01-19 02:41:21,129 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floattisf_test.c' 2024-01-19 02:41:21,129 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floattixf_test.c' 2024-01-19 02:41:21,129 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatundidf_test.c' 2024-01-19 02:41:21,129 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatundisf_test.c' 2024-01-19 02:41:21,130 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatunditf_test.c' 2024-01-19 02:41:21,130 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatundixf_test.c' 2024-01-19 02:41:21,130 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatunsitf_test.c' 2024-01-19 02:41:21,130 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatunssidfvfp_test.c' 2024-01-19 02:41:21,131 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatunssisfvfp_test.c' 2024-01-19 02:41:21,131 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntidf_test.c' 2024-01-19 02:41:21,131 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntisf_test.c' 2024-01-19 02:41:21,131 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/floatuntixf_test.c' 2024-01-19 02:41:21,131 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/fp_test.h' 2024-01-19 02:41:21,132 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/gcc_personality_test.c' 2024-01-19 02:41:21,132 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/gcc_personality_test_helper.cxx' 2024-01-19 02:41:21,132 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/gedf2vfp_test.c' 2024-01-19 02:41:21,132 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/gesf2vfp_test.c' 2024-01-19 02:41:21,133 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/getf2_test.c' 2024-01-19 02:41:21,133 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/gtdf2vfp_test.c' 2024-01-19 02:41:21,133 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/gtsf2vfp_test.c' 2024-01-19 02:41:21,133 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/gttf2_test.c' 2024-01-19 02:41:21,133 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ledf2vfp_test.c' 2024-01-19 02:41:21,134 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/lesf2vfp_test.c' 2024-01-19 02:41:21,134 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/letf2_test.c' 2024-01-19 02:41:21,134 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/lshrdi3_test.c' 2024-01-19 02:41:21,134 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/lshrti3_test.c' 2024-01-19 02:41:21,134 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ltdf2vfp_test.c' 2024-01-19 02:41:21,135 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ltsf2vfp_test.c' 2024-01-19 02:41:21,135 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/lttf2_test.c' 2024-01-19 02:41:21,135 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/moddi3_test.c' 2024-01-19 02:41:21,135 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/modsi3_test.c' 2024-01-19 02:41:21,136 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/modti3_test.c' 2024-01-19 02:41:21,136 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/muldc3_test.c' 2024-01-19 02:41:21,136 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/muldf3vfp_test.c' 2024-01-19 02:41:21,136 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/muldi3_test.c' 2024-01-19 02:41:21,136 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulodi4_test.c' 2024-01-19 02:41:21,137 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulosi4_test.c' 2024-01-19 02:41:21,137 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/muloti4_test.c' 2024-01-19 02:41:21,137 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulsc3_test.c' 2024-01-19 02:41:21,137 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulsf3vfp_test.c' 2024-01-19 02:41:21,138 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/multc3_test.c' 2024-01-19 02:41:21,138 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/multf3_test.c' 2024-01-19 02:41:21,138 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/multi3_test.c' 2024-01-19 02:41:21,138 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulvdi3_test.c' 2024-01-19 02:41:21,139 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulvsi3_test.c' 2024-01-19 02:41:21,139 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulvti3_test.c' 2024-01-19 02:41:21,139 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/mulxc3_test.c' 2024-01-19 02:41:21,139 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/nedf2vfp_test.c' 2024-01-19 02:41:21,140 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/negdf2vfp_test.c' 2024-01-19 02:41:21,140 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/negdi2_test.c' 2024-01-19 02:41:21,140 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/negsf2vfp_test.c' 2024-01-19 02:41:21,140 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/negti2_test.c' 2024-01-19 02:41:21,140 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/negvdi2_test.c' 2024-01-19 02:41:21,141 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/negvsi2_test.c' 2024-01-19 02:41:21,141 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/negvti2_test.c' 2024-01-19 02:41:21,141 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/nesf2vfp_test.c' 2024-01-19 02:41:21,141 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/netf2_test.c' 2024-01-19 02:41:21,141 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/paritydi2_test.c' 2024-01-19 02:41:21,142 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/paritysi2_test.c' 2024-01-19 02:41:21,142 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/parityti2_test.c' 2024-01-19 02:41:21,142 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/popcountdi2_test.c' 2024-01-19 02:41:21,142 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/popcountsi2_test.c' 2024-01-19 02:41:21,142 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/popcountti2_test.c' 2024-01-19 02:41:21,143 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/powidf2_test.c' 2024-01-19 02:41:21,143 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/powisf2_test.c' 2024-01-19 02:41:21,143 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/powitf2_test.c' 2024-01-19 02:41:21,143 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/powixf2_test.c' 2024-01-19 02:41:21,144 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/subdf3vfp_test.c' 2024-01-19 02:41:21,144 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/subsf3vfp_test.c' 2024-01-19 02:41:21,144 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/subtf3_test.c' 2024-01-19 02:41:21,144 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/subvdi3_test.c' 2024-01-19 02:41:21,145 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/subvsi3_test.c' 2024-01-19 02:41:21,145 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/subvti3_test.c' 2024-01-19 02:41:21,145 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/test' 2024-01-19 02:41:21,145 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/trampoline_setup_test.c' 2024-01-19 02:41:21,145 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfhf2_test.c' 2024-01-19 02:41:21,146 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfsf2_test.c' 2024-01-19 02:41:21,146 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/truncdfsf2vfp_test.c' 2024-01-19 02:41:21,146 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/truncsfhf2_test.c' 2024-01-19 02:41:21,146 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/trunctfdf2_test.c' 2024-01-19 02:41:21,146 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/trunctfsf2_test.c' 2024-01-19 02:41:21,147 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ucmpdi2_test.c' 2024-01-19 02:41:21,147 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ucmpti2_test.c' 2024-01-19 02:41:21,147 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/udivdi3_test.c' 2024-01-19 02:41:21,152 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/udivmoddi4_test.c' 2024-01-19 02:41:21,159 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/udivmodsi4_test.c' 2024-01-19 02:41:21,195 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/udivmodti4_test.c' 2024-01-19 02:41:21,258 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/udivsi3_test.c' 2024-01-19 02:41:21,259 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/udivti3_test.c' 2024-01-19 02:41:21,259 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/umoddi3_test.c' 2024-01-19 02:41:21,259 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/umodsi3_test.c' 2024-01-19 02:41:21,259 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/umodti3_test.c' 2024-01-19 02:41:21,260 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/unorddf2vfp_test.c' 2024-01-19 02:41:21,260 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/unordsf2vfp_test.c' 2024-01-19 02:41:21,260 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/unordtf2_test.c' 2024-01-19 02:41:21,260 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cdcmpeq_test.c' 2024-01-19 02:41:21,260 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cdcmple_test.c' 2024-01-19 02:41:21,261 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cfcmpeq_test.c' 2024-01-19 02:41:21,261 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_cfcmple_test.c' 2024-01-19 02:41:21,261 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_drsub_test.c' 2024-01-19 02:41:21,261 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/aeabi_frsub_test.c' 2024-01-19 02:41:21,262 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/call_apsr.S' 2024-01-19 02:41:21,262 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/arm/call_apsr.h' 2024-01-19 02:41:21,262 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/DD.h' 2024-01-19 02:41:21,262 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/fixtfdi_test.c' 2024-01-19 02:41:21,263 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatditf_test.c' 2024-01-19 02:41:21,268 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatditf_test.h' 2024-01-19 02:41:21,277 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatunditf_test.c' 2024-01-19 02:41:21,280 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/floatunditf_test.h' 2024-01-19 02:41:21,285 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qadd_test.c' 2024-01-19 02:41:21,286 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qdiv_test.c' 2024-01-19 02:41:21,287 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qmul_test.c' 2024-01-19 02:41:21,288 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/qsub_test.c' 2024-01-19 02:41:21,289 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/Unit/ppc/test' 2024-01-19 02:41:21,289 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/ashldi3.c' 2024-01-19 02:41:21,290 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/ashrdi3.c' 2024-01-19 02:41:21,290 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/divdi3.c' 2024-01-19 02:41:21,290 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/floatdidf.c' 2024-01-19 02:41:21,290 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/floatdisf.c' 2024-01-19 02:41:21,290 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/floatdixf.c' 2024-01-19 02:41:21,291 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/floatundidf.c' 2024-01-19 02:41:21,291 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/floatundisf.c' 2024-01-19 02:41:21,291 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/floatundixf.c' 2024-01-19 02:41:21,291 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/lshrdi3.c' 2024-01-19 02:41:21,292 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/moddi3.c' 2024-01-19 02:41:21,292 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/modsi3.c' 2024-01-19 02:41:21,292 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/muldi3.c' 2024-01-19 02:41:21,292 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/negdi2.c' 2024-01-19 02:41:21,292 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/time' 2024-01-19 02:41:21,293 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/timing.h' 2024-01-19 02:41:21,293 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/udivdi3.c' 2024-01-19 02:41:21,293 wheel INFO adding 'pythondata_software_compiler_rt/data/test/builtins/timing/umoddi3.c' 2024-01-19 02:41:21,293 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/CMakeLists.txt' 2024-01-19 02:41:21,293 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/README.txt' 2024-01-19 02:41:21,294 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/anon-namespace.cpp' 2024-01-19 02:41:21,294 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/bad-cast.cpp' 2024-01-19 02:41:21,294 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/base-derived-destructor.cpp' 2024-01-19 02:41:21,294 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/create-derivers.test' 2024-01-19 02:41:21,295 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/lit.cfg' 2024-01-19 02:41:21,295 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/lit.site.cfg.in' 2024-01-19 02:41:21,295 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/multiple-inheritance.cpp' 2024-01-19 02:41:21,295 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/nvcall.cpp' 2024-01-19 02:41:21,295 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/overwrite.cpp' 2024-01-19 02:41:21,296 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/sibling.cpp' 2024-01-19 02:41:21,296 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/simple-fail.cpp' 2024-01-19 02:41:21,296 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/simple-pass.cpp' 2024-01-19 02:41:21,296 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/utils.h' 2024-01-19 02:41:21,296 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/vdtor.cpp' 2024-01-19 02:41:21,297 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/icall/bad-signature.c' 2024-01-19 02:41:21,297 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/icall/external-call.c' 2024-01-19 02:41:21,297 wheel INFO adding 'pythondata_software_compiler_rt/data/test/cfi/icall/lit.local.cfg' 2024-01-19 02:41:21,297 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/CMakeLists.txt' 2024-01-19 02:41:21,297 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/basic.c' 2024-01-19 02:41:21,298 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/custom.cc' 2024-01-19 02:41:21,298 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/dump_labels.c' 2024-01-19 02:41:21,298 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/flags.c' 2024-01-19 02:41:21,298 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/fncall.c' 2024-01-19 02:41:21,299 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/label_count.c' 2024-01-19 02:41:21,299 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/lit.cfg' 2024-01-19 02:41:21,299 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/lit.site.cfg.in' 2024-01-19 02:41:21,299 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/propagate.c' 2024-01-19 02:41:21,300 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/vararg.c' 2024-01-19 02:41:21,300 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/write_callback.c' 2024-01-19 02:41:21,300 wheel INFO adding 'pythondata_software_compiler_rt/data/test/dfsan/Inputs/flags_abilist.txt' 2024-01-19 02:41:21,300 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/CMakeLists.txt' 2024-01-19 02:41:21,300 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/lit.common.cfg' 2024-01-19 02:41:21,301 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/lit.site.cfg.in' 2024-01-19 02:41:21,301 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/cleanup_in_tsd_destructor.cc' 2024-01-19 02:41:21,301 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/disabler.cc' 2024-01-19 02:41:21,301 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/disabler_in_tsd_destructor.cc' 2024-01-19 02:41:21,302 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/do_leak_check_override.cc' 2024-01-19 02:41:21,302 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/fork.cc' 2024-01-19 02:41:21,302 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/fork_threaded.cc' 2024-01-19 02:41:21,302 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/high_allocator_contention.cc' 2024-01-19 02:41:21,302 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/ignore_object.cc' 2024-01-19 02:41:21,303 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/ignore_object_errors.cc' 2024-01-19 02:41:21,303 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/large_allocation_leak.cc' 2024-01-19 02:41:21,303 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/leak_check_at_exit.cc' 2024-01-19 02:41:21,303 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/leak_check_before_thread_started.cc' 2024-01-19 02:41:21,303 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/link_turned_off.cc' 2024-01-19 02:41:21,304 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/new_array_with_dtor_0.cc' 2024-01-19 02:41:21,304 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/pointer_to_self.cc' 2024-01-19 02:41:21,304 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/print_suppressions.cc' 2024-01-19 02:41:21,304 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/recoverable_leak_check.cc' 2024-01-19 02:41:21,304 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/register_root_region.cc' 2024-01-19 02:41:21,305 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/sanity_check_pure_c.c' 2024-01-19 02:41:21,305 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/stale_stack_leak.cc' 2024-01-19 02:41:21,305 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/suppressions_default.cc' 2024-01-19 02:41:21,305 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/suppressions_file.cc' 2024-01-19 02:41:21,305 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/swapcontext.cc' 2024-01-19 02:41:21,306 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_after_return.cc' 2024-01-19 02:41:21,306 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_globals_initialized.cc' 2024-01-19 02:41:21,306 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_globals_uninitialized.cc' 2024-01-19 02:41:21,306 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_poisoned_asan.cc' 2024-01-19 02:41:21,306 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_registers.cc' 2024-01-19 02:41:21,307 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_stacks.cc' 2024-01-19 02:41:21,307 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_stacks_threaded.cc' 2024-01-19 02:41:21,307 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_dynamic.cc' 2024-01-19 02:41:21,307 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_pthread_specific_dynamic.cc' 2024-01-19 02:41:21,307 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_pthread_specific_static.cc' 2024-01-19 02:41:21,308 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_tls_static.cc' 2024-01-19 02:41:21,308 wheel INFO adding 'pythondata_software_compiler_rt/data/test/lsan/TestCases/use_unaligned.cc' 2024-01-19 02:41:21,308 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/CMakeLists.txt' 2024-01-19 02:41:21,308 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/allocator_mapping.cc' 2024-01-19 02:41:21,309 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/allocator_returns_null.cc' 2024-01-19 02:41:21,309 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/backtrace.cc' 2024-01-19 02:41:21,309 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/c-strdup.c' 2024-01-19 02:41:21,309 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/chained_origin.cc' 2024-01-19 02:41:21,309 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/chained_origin_empty_stack.cc' 2024-01-19 02:41:21,310 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/chained_origin_limits.cc' 2024-01-19 02:41:21,310 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/chained_origin_memcpy.cc' 2024-01-19 02:41:21,310 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/chained_origin_with_signals.cc' 2024-01-19 02:41:21,310 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/check_mem_is_initialized.cc' 2024-01-19 02:41:21,310 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/coverage-levels.cc' 2024-01-19 02:41:21,311 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/cxa_atexit.cc' 2024-01-19 02:41:21,311 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/death-callback.cc' 2024-01-19 02:41:21,311 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/default_blacklist.cc' 2024-01-19 02:41:21,311 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dlerror.cc' 2024-01-19 02:41:21,311 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dso-origin.cc' 2024-01-19 02:41:21,312 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtls_test.c' 2024-01-19 02:41:21,312 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-base-access.cc' 2024-01-19 02:41:21,312 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-bit-fields.cc' 2024-01-19 02:41:21,312 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-derived-class.cc' 2024-01-19 02:41:21,312 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-member.cc' 2024-01-19 02:41:21,313 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-multiple-inheritance-nontrivial-class-members.cc' 2024-01-19 02:41:21,313 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-multiple-inheritance.cc' 2024-01-19 02:41:21,313 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-trivial-class-members.cc' 2024-01-19 02:41:21,313 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-trivial.cpp' 2024-01-19 02:41:21,314 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-vtable-multiple-inheritance.cc' 2024-01-19 02:41:21,314 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/dtor-vtable.cc' 2024-01-19 02:41:21,314 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/errno.cc' 2024-01-19 02:41:21,314 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/fork.cc' 2024-01-19 02:41:21,314 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/ftime.cc' 2024-01-19 02:41:21,315 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/getaddrinfo-positive.cc' 2024-01-19 02:41:21,315 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/getaddrinfo.cc' 2024-01-19 02:41:21,315 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/getc_unlocked.c' 2024-01-19 02:41:21,315 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/getline.cc' 2024-01-19 02:41:21,315 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/heap-origin.cc' 2024-01-19 02:41:21,316 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/icmp_slt_allones.cc' 2024-01-19 02:41:21,316 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/iconv.cc' 2024-01-19 02:41:21,316 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/if_indextoname.cc' 2024-01-19 02:41:21,316 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/ifaddrs.cc' 2024-01-19 02:41:21,316 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/initgroups.cc' 2024-01-19 02:41:21,317 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/inline.cc' 2024-01-19 02:41:21,317 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/insertvalue_origin.cc' 2024-01-19 02:41:21,317 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/ioctl.cc' 2024-01-19 02:41:21,317 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/ioctl_custom.cc' 2024-01-19 02:41:21,317 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/keep-going-dso.cc' 2024-01-19 02:41:21,318 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/keep-going.cc' 2024-01-19 02:41:21,318 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/lit.cfg' 2024-01-19 02:41:21,318 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/lit.site.cfg.in' 2024-01-19 02:41:21,318 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/memcmp_test.cc' 2024-01-19 02:41:21,318 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/mktime.cc' 2024-01-19 02:41:21,319 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/mmap.cc' 2024-01-19 02:41:21,319 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/mmap_below_shadow.cc' 2024-01-19 02:41:21,319 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/msan_check_mem_is_initialized.cc' 2024-01-19 02:41:21,319 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/msan_copy_shadow.cc' 2024-01-19 02:41:21,319 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/msan_dump_shadow.cc' 2024-01-19 02:41:21,320 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/msan_print_shadow.cc' 2024-01-19 02:41:21,320 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/msan_print_shadow2.cc' 2024-01-19 02:41:21,320 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/msan_print_shadow3.cc' 2024-01-19 02:41:21,320 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/mul_by_const.cc' 2024-01-19 02:41:21,320 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/no_sanitize_memory.cc' 2024-01-19 02:41:21,321 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/no_sanitize_memory_prop.cc' 2024-01-19 02:41:21,321 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/origin-store-long.cc' 2024-01-19 02:41:21,321 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/param_tls_limit.cc' 2024-01-19 02:41:21,321 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/poison_in_free.cc' 2024-01-19 02:41:21,321 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/print_stats.cc' 2024-01-19 02:41:21,322 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/pthread_getattr_np_deadlock.cc' 2024-01-19 02:41:21,322 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/pthread_setcancelstate.cc' 2024-01-19 02:41:21,322 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/rand_r.cc' 2024-01-19 02:41:21,322 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/readdir64.cc' 2024-01-19 02:41:21,322 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/realloc-large-origin.cc' 2024-01-19 02:41:21,323 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/realloc-origin.cc' 2024-01-19 02:41:21,323 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/report-demangling.cc' 2024-01-19 02:41:21,323 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/scandir.cc' 2024-01-19 02:41:21,323 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/scandir_null.cc' 2024-01-19 02:41:21,324 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/select.cc' 2024-01-19 02:41:21,324 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/select_float_origin.cc' 2024-01-19 02:41:21,324 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/select_origin.cc' 2024-01-19 02:41:21,324 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/sem_getvalue.cc' 2024-01-19 02:41:21,324 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/setlocale.cc' 2024-01-19 02:41:21,325 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/signal_stress_test.cc' 2024-01-19 02:41:21,325 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/sigwait.cc' 2024-01-19 02:41:21,325 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/sigwaitinfo.cc' 2024-01-19 02:41:21,325 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/stack-origin.cc' 2024-01-19 02:41:21,325 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/stack-origin2.cc' 2024-01-19 02:41:21,326 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/strerror_r-non-gnu.c' 2024-01-19 02:41:21,326 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/strlen_of_shadow.cc' 2024-01-19 02:41:21,326 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/strxfrm.cc' 2024-01-19 02:41:21,326 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/sync_lock_set_and_test.cc' 2024-01-19 02:41:21,326 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/test.h' 2024-01-19 02:41:21,327 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/textdomain.cc' 2024-01-19 02:41:21,327 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/times.cc' 2024-01-19 02:41:21,327 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/tls_reuse.cc' 2024-01-19 02:41:21,327 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/tsearch.cc' 2024-01-19 02:41:21,327 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/tzset.cc' 2024-01-19 02:41:21,328 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/unaligned_read_origin.cc' 2024-01-19 02:41:21,328 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/unpoison_string.cc' 2024-01-19 02:41:21,328 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/use-after-dtor.cc' 2024-01-19 02:41:21,328 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/use-after-free.cc' 2024-01-19 02:41:21,328 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/vector_cvt.cc' 2024-01-19 02:41:21,329 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/vector_select.cc' 2024-01-19 02:41:21,329 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/fopencookie.cc' 2024-01-19 02:41:21,329 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/forkpty.cc' 2024-01-19 02:41:21,329 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/getresid.cc' 2024-01-19 02:41:21,329 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/glob.cc' 2024-01-19 02:41:21,330 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/glob_altdirfunc.cc' 2024-01-19 02:41:21,330 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/glob_nomatch.cc' 2024-01-19 02:41:21,330 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/ioctl_sound.cc' 2024-01-19 02:41:21,330 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/lit.local.cfg' 2024-01-19 02:41:21,330 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/mallinfo.cc' 2024-01-19 02:41:21,331 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/mincore.cc' 2024-01-19 02:41:21,331 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/obstack.cc' 2024-01-19 02:41:21,331 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/process_vm_readv.cc' 2024-01-19 02:41:21,331 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc.cc' 2024-01-19 02:41:21,331 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc_bytes.cc' 2024-01-19 02:41:21,332 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/sunrpc_string.cc' 2024-01-19 02:41:21,332 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/syscalls.cc' 2024-01-19 02:41:21,332 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/tcgetattr.cc' 2024-01-19 02:41:21,332 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/xattr.cc' 2024-01-19 02:41:21,333 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/aa' 2024-01-19 02:41:21,333 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/ab' 2024-01-19 02:41:21,333 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/glob_test_root/ba' 2024-01-19 02:41:21,333 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Linux/xattr_test_root/a' 2024-01-19 02:41:21,333 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/Unit/lit.site.cfg.in' 2024-01-19 02:41:21,334 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/scandir_test_root/aaa' 2024-01-19 02:41:21,334 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/scandir_test_root/aab' 2024-01-19 02:41:21,334 wheel INFO adding 'pythondata_software_compiler_rt/data/test/msan/scandir_test_root/bbb' 2024-01-19 02:41:21,334 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/CMakeLists.txt' 2024-01-19 02:41:21,335 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/gcc-flag-compatibility.test' 2024-01-19 02:41:21,335 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-basic.c' 2024-01-19 02:41:21,335 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-dlopen.test' 2024-01-19 02:41:21,335 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-dynamic-one-shared.test' 2024-01-19 02:41:21,335 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-dynamic-two-shared.test' 2024-01-19 02:41:21,336 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename-then-reset-default.c' 2024-01-19 02:41:21,336 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename-with-env.c' 2024-01-19 02:41:21,336 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-override-filename.c' 2024-01-19 02:41:21,336 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-reset-counters.c' 2024-01-19 02:41:21,336 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-set-filename-then-reset-default.c' 2024-01-19 02:41:21,337 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-set-filename.c' 2024-01-19 02:41:21,337 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-without-libc.c' 2024-01-19 02:41:21,337 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-write-file-atexit-explicitly.c' 2024-01-19 02:41:21,337 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-write-file-only.c' 2024-01-19 02:41:21,337 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/instrprof-write-file.c' 2024-01-19 02:41:21,338 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/lit.cfg' 2024-01-19 02:41:21,338 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/lit.site.cfg.in' 2024-01-19 02:41:21,338 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/gcc-flag-compatibility.c' 2024-01-19 02:41:21,338 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-func.c' 2024-01-19 02:41:21,339 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-func2.c' 2024-01-19 02:41:21,339 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dlopen-main.c' 2024-01-19 02:41:21,339 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-a.cpp' 2024-01-19 02:41:21,339 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-b.cpp' 2024-01-19 02:41:21,339 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-header.h' 2024-01-19 02:41:21,339 wheel INFO adding 'pythondata_software_compiler_rt/data/test/profile/Inputs/instrprof-dynamic-main.cpp' 2024-01-19 02:41:21,340 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/CMakeLists.txt' 2024-01-19 02:41:21,340 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/buffer-copy-vla.c' 2024-01-19 02:41:21,340 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/buffer-copy.c' 2024-01-19 02:41:21,340 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/init.c' 2024-01-19 02:41:21,341 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/lit.cfg' 2024-01-19 02:41:21,341 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/lit.site.cfg.in' 2024-01-19 02:41:21,341 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/lto.c' 2024-01-19 02:41:21,341 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/overflow.c' 2024-01-19 02:41:21,341 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/pthread-cleanup.c' 2024-01-19 02:41:21,342 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/pthread.c' 2024-01-19 02:41:21,342 wheel INFO adding 'pythondata_software_compiler_rt/data/test/safestack/utils.h' 2024-01-19 02:41:21,342 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/CMakeLists.txt' 2024-01-19 02:41:21,342 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/lit.common.cfg' 2024-01-19 02:41:21,342 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/lit.site.cfg.in' 2024-01-19 02:41:21,343 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/corelimit.cc' 2024-01-19 02:41:21,343 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/malloc_hook.cc' 2024-01-19 02:41:21,343 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-help.cc' 2024-01-19 02:41:21,343 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-include.cc' 2024-01-19 02:41:21,343 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/options-invalid.cc' 2024-01-19 02:41:21,344 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/print-stack-trace.cc' 2024-01-19 02:41:21,344 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/pthread_mutexattr_get.cc' 2024-01-19 02:41:21,344 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strcasestr.c' 2024-01-19 02:41:21,344 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strcspn.c' 2024-01-19 02:41:21,344 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strpbrk.c' 2024-01-19 02:41:21,345 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strspn.c' 2024-01-19 02:41:21,345 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/strstr.c' 2024-01-19 02:41:21,345 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin/abort_on_error.cc' 2024-01-19 02:41:21,345 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Darwin/lit.local.cfg' 2024-01-19 02:41:21,346 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/abort_on_error.cc' 2024-01-19 02:41:21,346 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/aligned_alloc.c' 2024-01-19 02:41:21,346 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/assert.cc' 2024-01-19 02:41:21,346 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/clock_gettime.c' 2024-01-19 02:41:21,346 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/fpe.cc' 2024-01-19 02:41:21,347 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/getpass.cc' 2024-01-19 02:41:21,347 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/getpwnam_r_invalid_user.cc' 2024-01-19 02:41:21,347 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/hard_rss_limit_mb_test.cc' 2024-01-19 02:41:21,347 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/lit.local.cfg' 2024-01-19 02:41:21,347 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/mlock_test.cc' 2024-01-19 02:41:21,348 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/open_memstream.cc' 2024-01-19 02:41:21,348 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/ptrace.cc' 2024-01-19 02:41:21,348 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sanitizer_set_death_callback_test.cc' 2024-01-19 02:41:21,348 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sched_getparam.cc' 2024-01-19 02:41:21,349 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/sem_init_glibc.cc' 2024-01-19 02:41:21,349 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/signal_segv_handler.cc' 2024-01-19 02:41:21,349 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/soft_rss_limit_mb_test.cc' 2024-01-19 02:41:21,349 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Linux/timerfd.cc' 2024-01-19 02:41:21,350 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix/decorate_proc_maps.cc' 2024-01-19 02:41:21,350 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/TestCases/Posix/lit.local.cfg' 2024-01-19 02:41:21,350 wheel INFO adding 'pythondata_software_compiler_rt/data/test/sanitizer_common/Unit/lit.site.cfg.in' 2024-01-19 02:41:21,351 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/CMakeLists.txt' 2024-01-19 02:41:21,351 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/aligned_vs_unaligned_race.cc' 2024-01-19 02:41:21,351 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/allocator_returns_null.cc' 2024-01-19 02:41:21,351 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/annotate_happens_before.cc' 2024-01-19 02:41:21,351 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atexit.cc' 2024-01-19 02:41:21,352 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atexit2.cc' 2024-01-19 02:41:21,352 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atomic_free.cc' 2024-01-19 02:41:21,352 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atomic_free2.cc' 2024-01-19 02:41:21,352 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atomic_free3.cc' 2024-01-19 02:41:21,352 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atomic_norace.cc' 2024-01-19 02:41:21,353 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atomic_race.cc' 2024-01-19 02:41:21,353 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/atomic_stack.cc' 2024-01-19 02:41:21,353 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/barrier.cc' 2024-01-19 02:41:21,353 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench.h' 2024-01-19 02:41:21,353 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_acquire_only.cc' 2024-01-19 02:41:21,354 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_acquire_release.cc' 2024-01-19 02:41:21,354 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_local_mutex.cc' 2024-01-19 02:41:21,354 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_mutex.cc' 2024-01-19 02:41:21,354 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_release_only.cc' 2024-01-19 02:41:21,354 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_rwmutex.cc' 2024-01-19 02:41:21,355 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_shadow_flush.cc' 2024-01-19 02:41:21,355 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_single_writer.cc' 2024-01-19 02:41:21,355 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/bench_ten_mutexes.cc' 2024-01-19 02:41:21,355 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/benign_race.cc' 2024-01-19 02:41:21,355 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/blacklist.cc' 2024-01-19 02:41:21,356 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/blacklist2.cc' 2024-01-19 02:41:21,356 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/cond.c' 2024-01-19 02:41:21,356 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/cond_cancel.c' 2024-01-19 02:41:21,356 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/cond_destruction.cc' 2024-01-19 02:41:21,356 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/cond_race.cc' 2024-01-19 02:41:21,357 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/cond_version.c' 2024-01-19 02:41:21,357 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/deadlock_detector_stress_test.cc' 2024-01-19 02:41:21,357 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/deep_stack1.cc' 2024-01-19 02:41:21,357 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/default_options.cc' 2024-01-19 02:41:21,358 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/deflake.bash' 2024-01-19 02:41:21,358 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/dl_iterate_phdr.cc' 2024-01-19 02:41:21,358 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/dlclose.cc' 2024-01-19 02:41:21,358 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_close_norace.cc' 2024-01-19 02:41:21,358 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_close_norace2.cc' 2024-01-19 02:41:21,359 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_dup_norace.cc' 2024-01-19 02:41:21,359 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_dup_norace2.cc' 2024-01-19 02:41:21,359 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_dup_race.cc' 2024-01-19 02:41:21,359 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_location.cc' 2024-01-19 02:41:21,359 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_pipe_norace.cc' 2024-01-19 02:41:21,360 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_pipe_race.cc' 2024-01-19 02:41:21,360 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_socket_connect_norace.cc' 2024-01-19 02:41:21,360 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_socket_norace.cc' 2024-01-19 02:41:21,360 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_socketpair_norace.cc' 2024-01-19 02:41:21,360 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fd_stdout_race.cc' 2024-01-19 02:41:21,361 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fork_atexit.cc' 2024-01-19 02:41:21,361 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fork_deadlock.cc' 2024-01-19 02:41:21,361 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fork_multithreaded.cc' 2024-01-19 02:41:21,361 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/fork_multithreaded3.cc' 2024-01-19 02:41:21,361 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/free_race.c' 2024-01-19 02:41:21,362 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/free_race.c.supp' 2024-01-19 02:41:21,362 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/free_race2.c' 2024-01-19 02:41:21,362 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/getline_nohang.cc' 2024-01-19 02:41:21,362 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/global_race.cc' 2024-01-19 02:41:21,362 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/global_race2.cc' 2024-01-19 02:41:21,363 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/global_race3.cc' 2024-01-19 02:41:21,363 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/halt_on_error.cc' 2024-01-19 02:41:21,363 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/heap_race.cc' 2024-01-19 02:41:21,363 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_free.cc' 2024-01-19 02:41:21,363 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib0.cc' 2024-01-19 02:41:21,364 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib0.cc.supp' 2024-01-19 02:41:21,364 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib1.cc' 2024-01-19 02:41:21,364 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib1.cc.supp' 2024-01-19 02:41:21,364 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib2.cc' 2024-01-19 02:41:21,364 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib2.cc.supp' 2024-01-19 02:41:21,365 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib3.cc' 2024-01-19 02:41:21,365 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib3.cc.supp' 2024-01-19 02:41:21,365 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_lib_lib.h' 2024-01-19 02:41:21,365 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_malloc.cc' 2024-01-19 02:41:21,365 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_race.cc' 2024-01-19 02:41:21,366 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/ignore_sync.cc' 2024-01-19 02:41:21,366 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/inlined_memcpy_race.cc' 2024-01-19 02:41:21,366 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/inlined_memcpy_race2.cc' 2024-01-19 02:41:21,366 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/interface_atomic_test.c' 2024-01-19 02:41:21,366 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java.h' 2024-01-19 02:41:21,367 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_alloc.cc' 2024-01-19 02:41:21,367 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_finalizer.cc' 2024-01-19 02:41:21,367 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_heap_init.cc' 2024-01-19 02:41:21,367 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_lock.cc' 2024-01-19 02:41:21,367 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_lock_move.cc' 2024-01-19 02:41:21,368 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_lock_rec.cc' 2024-01-19 02:41:21,368 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_lock_rec_race.cc' 2024-01-19 02:41:21,368 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_move_overlap.cc' 2024-01-19 02:41:21,368 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_move_overlap_race.cc' 2024-01-19 02:41:21,368 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_race.cc' 2024-01-19 02:41:21,369 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_race_move.cc' 2024-01-19 02:41:21,369 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_race_pc.cc' 2024-01-19 02:41:21,369 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_rwlock.cc' 2024-01-19 02:41:21,369 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_symbolization.cc' 2024-01-19 02:41:21,370 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/java_volatile.cc' 2024-01-19 02:41:21,370 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/large_malloc_meta.cc' 2024-01-19 02:41:21,370 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/lit.cfg' 2024-01-19 02:41:21,370 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/lit.site.cfg.in' 2024-01-19 02:41:21,370 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/load_shared_lib.cc' 2024-01-19 02:41:21,371 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/longjmp.cc' 2024-01-19 02:41:21,371 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/longjmp2.cc' 2024-01-19 02:41:21,371 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/longjmp3.cc' 2024-01-19 02:41:21,371 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/longjmp4.cc' 2024-01-19 02:41:21,371 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/malloc_overflow.cc' 2024-01-19 02:41:21,372 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/malloc_stack.cc' 2024-01-19 02:41:21,372 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/map32bit.cc' 2024-01-19 02:41:21,372 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/memcmp_race.cc' 2024-01-19 02:41:21,372 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/memcpy_race.cc' 2024-01-19 02:41:21,372 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mmap_large.cc' 2024-01-19 02:41:21,373 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mmap_stress.cc' 2024-01-19 02:41:21,373 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mop1.c' 2024-01-19 02:41:21,373 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mop_with_offset.cc' 2024-01-19 02:41:21,373 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mop_with_offset2.cc' 2024-01-19 02:41:21,373 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/must_deadlock.cc' 2024-01-19 02:41:21,374 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutex_bad_read_lock.cc' 2024-01-19 02:41:21,374 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutex_bad_read_unlock.cc' 2024-01-19 02:41:21,374 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutex_bad_unlock.cc' 2024-01-19 02:41:21,374 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutex_cycle2.c' 2024-01-19 02:41:21,374 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutex_destroy_locked.cc' 2024-01-19 02:41:21,375 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutex_double_lock.cc' 2024-01-19 02:41:21,375 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset1.cc' 2024-01-19 02:41:21,375 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset2.cc' 2024-01-19 02:41:21,375 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset3.cc' 2024-01-19 02:41:21,375 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset4.cc' 2024-01-19 02:41:21,376 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset5.cc' 2024-01-19 02:41:21,376 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset6.cc' 2024-01-19 02:41:21,376 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset7.cc' 2024-01-19 02:41:21,376 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/mutexset8.cc' 2024-01-19 02:41:21,376 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/printf-1.c' 2024-01-19 02:41:21,377 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/pthread_atfork_deadlock.c' 2024-01-19 02:41:21,377 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_barrier.c' 2024-01-19 02:41:21,377 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_barrier2.c' 2024-01-19 02:41:21,377 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_heap.cc' 2024-01-19 02:41:21,377 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_mutex.c' 2024-01-19 02:41:21,378 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_mutex2.c' 2024-01-19 02:41:21,378 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_puts.cc' 2024-01-19 02:41:21,378 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_read.cc' 2024-01-19 02:41:21,378 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_speculative_load.cc' 2024-01-19 02:41:21,378 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_on_write.cc' 2024-01-19 02:41:21,379 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_stress.cc' 2024-01-19 02:41:21,379 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_top_suppression.cc' 2024-01-19 02:41:21,379 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_top_suppression1.cc' 2024-01-19 02:41:21,379 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/race_with_finished_thread.cc' 2024-01-19 02:41:21,379 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/real_deadlock_detector_stress_test.cc' 2024-01-19 02:41:21,380 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/restore_stack.cc' 2024-01-19 02:41:21,380 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/setuid.c' 2024-01-19 02:41:21,380 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/setuid2.c' 2024-01-19 02:41:21,380 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_cond.cc' 2024-01-19 02:41:21,380 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_errno.cc' 2024-01-19 02:41:21,381 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_longjmp.cc' 2024-01-19 02:41:21,381 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_malloc.cc' 2024-01-19 02:41:21,381 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_recursive.cc' 2024-01-19 02:41:21,381 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_reset.cc' 2024-01-19 02:41:21,381 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_sync.cc' 2024-01-19 02:41:21,382 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_thread.cc' 2024-01-19 02:41:21,382 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/signal_write.cc' 2024-01-19 02:41:21,382 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/sigsuspend.cc' 2024-01-19 02:41:21,382 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/simple_race.c' 2024-01-19 02:41:21,382 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/simple_race.cc' 2024-01-19 02:41:21,383 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/simple_stack.c' 2024-01-19 02:41:21,383 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/simple_stack2.cc' 2024-01-19 02:41:21,383 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/sleep_sync.cc' 2024-01-19 02:41:21,383 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/sleep_sync2.cc' 2024-01-19 02:41:21,383 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/stack_race.cc' 2024-01-19 02:41:21,384 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/stack_race2.cc' 2024-01-19 02:41:21,384 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/stack_sync_reuse.cc' 2024-01-19 02:41:21,384 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/static_init1.cc' 2024-01-19 02:41:21,384 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/static_init2.cc' 2024-01-19 02:41:21,384 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/static_init3.cc' 2024-01-19 02:41:21,385 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/static_init4.cc' 2024-01-19 02:41:21,385 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/static_init5.cc' 2024-01-19 02:41:21,385 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/static_init6.cc' 2024-01-19 02:41:21,385 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/sunrpc.cc' 2024-01-19 02:41:21,385 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppress_same_address.cc' 2024-01-19 02:41:21,386 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppress_same_stacks.cc' 2024-01-19 02:41:21,386 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppressions_global.cc' 2024-01-19 02:41:21,386 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppressions_global.cc.supp' 2024-01-19 02:41:21,386 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppressions_race.cc' 2024-01-19 02:41:21,386 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppressions_race.cc.supp' 2024-01-19 02:41:21,387 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppressions_race2.cc' 2024-01-19 02:41:21,387 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/suppressions_race2.cc.supp' 2024-01-19 02:41:21,387 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/test.h' 2024-01-19 02:41:21,387 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/test_output.sh' 2024-01-19 02:41:21,387 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_detach.c' 2024-01-19 02:41:21,388 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_detach2.c' 2024-01-19 02:41:21,388 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore.cc' 2024-01-19 02:41:21,388 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore2.cc' 2024-01-19 02:41:21,388 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_end_with_ignore3.cc' 2024-01-19 02:41:21,388 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_leak.c' 2024-01-19 02:41:21,389 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_leak2.c' 2024-01-19 02:41:21,389 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_leak3.c' 2024-01-19 02:41:21,389 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_leak4.c' 2024-01-19 02:41:21,389 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_leak5.c' 2024-01-19 02:41:21,389 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_name.cc' 2024-01-19 02:41:21,390 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/thread_name2.cc' 2024-01-19 02:41:21,390 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/tiny_race.c' 2024-01-19 02:41:21,390 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/tls_race.cc' 2024-01-19 02:41:21,390 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/tls_race2.cc' 2024-01-19 02:41:21,390 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/tsan-vs-gvn.cc' 2024-01-19 02:41:21,391 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/unaligned_norace.cc' 2024-01-19 02:41:21,391 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/unaligned_race.cc' 2024-01-19 02:41:21,391 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/vfork.cc' 2024-01-19 02:41:21,391 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/virtual_inheritance_compile_bug.cc' 2024-01-19 02:41:21,391 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/vptr_benign_race.cc' 2024-01-19 02:41:21,392 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race.cc' 2024-01-19 02:41:21,392 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race2.cc' 2024-01-19 02:41:21,392 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race3.cc' 2024-01-19 02:41:21,392 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/vptr_harmful_race4.cc' 2024-01-19 02:41:21,392 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/write_in_reader_lock.cc' 2024-01-19 02:41:21,393 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/Linux/lit.local.cfg' 2024-01-19 02:41:21,393 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/Linux/mutex_robust.cc' 2024-01-19 02:41:21,393 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/Linux/mutex_robust2.cc' 2024-01-19 02:41:21,393 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/Linux/user_fopen.cc' 2024-01-19 02:41:21,393 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/Linux/user_malloc.cc' 2024-01-19 02:41:21,394 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/Unit/lit.site.cfg.in' 2024-01-19 02:41:21,394 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/libcxx/lit.local.cfg' 2024-01-19 02:41:21,394 wheel INFO adding 'pythondata_software_compiler_rt/data/test/tsan/libcxx/std_shared_ptr.cc' 2024-01-19 02:41:21,394 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/CMakeLists.txt' 2024-01-19 02:41:21,395 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/lit.common.cfg' 2024-01-19 02:41:21,395 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/lit.site.cfg.in' 2024-01-19 02:41:21,395 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Float/cast-overflow.cpp' 2024-01-19 02:41:21,395 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/add-overflow.cpp' 2024-01-19 02:41:21,396 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/div-overflow.cpp' 2024-01-19 02:41:21,396 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/div-zero.cpp' 2024-01-19 02:41:21,396 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/incdec-overflow.cpp' 2024-01-19 02:41:21,396 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/mul-overflow.cpp' 2024-01-19 02:41:21,396 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/negate-overflow.cpp' 2024-01-19 02:41:21,397 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/no-recover.cpp' 2024-01-19 02:41:21,397 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/shift.cpp' 2024-01-19 02:41:21,397 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/sub-overflow.cpp' 2024-01-19 02:41:21,397 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/summary.cpp' 2024-01-19 02:41:21,398 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/uadd-overflow.cpp' 2024-01-19 02:41:21,398 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/uincdec-overflow.cpp' 2024-01-19 02:41:21,398 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/umul-overflow.cpp' 2024-01-19 02:41:21,398 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Integer/usub-overflow.cpp' 2024-01-19 02:41:21,398 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/bool.cpp' 2024-01-19 02:41:21,399 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/bounds.cpp' 2024-01-19 02:41:21,399 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/coverage-levels.cc' 2024-01-19 02:41:21,399 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/deduplication.cpp' 2024-01-19 02:41:21,399 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/enum.cpp' 2024-01-19 02:41:21,399 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/log-path_test.cc' 2024-01-19 02:41:21,400 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/missing_return.cpp' 2024-01-19 02:41:21,400 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/nonnull-arg.cpp' 2024-01-19 02:41:21,400 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/nonnull.cpp' 2024-01-19 02:41:21,400 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/unreachable.cpp' 2024-01-19 02:41:21,400 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/vla.c' 2024-01-19 02:41:21,401 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux/lit.local.cfg' 2024-01-19 02:41:21,401 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/Misc/Linux/ubsan_options.cc' 2024-01-19 02:41:21,401 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/misaligned.cpp' 2024-01-19 02:41:21,401 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/null.cpp' 2024-01-19 02:41:21,402 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr-virtual-base-construction.cpp' 2024-01-19 02:41:21,402 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr-virtual-base.cpp' 2024-01-19 02:41:21,402 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/vptr.cpp' 2024-01-19 02:41:21,402 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function/function.cpp' 2024-01-19 02:41:21,402 wheel INFO adding 'pythondata_software_compiler_rt/data/test/ubsan/TestCases/TypeCheck/Function/lit.local.cfg' 2024-01-19 02:41:21,403 wheel INFO adding 'pythondata_software_compiler_rt/data/unittests/CMakeLists.txt' 2024-01-19 02:41:21,403 wheel INFO adding 'pythondata_software_compiler_rt/data/unittests/lit.common.unit.cfg' 2024-01-19 02:41:21,403 wheel INFO adding 'pythondata_software_compiler_rt/data/unittests/lit.common.unit.configured.in' 2024-01-19 02:41:21,403 wheel INFO adding 'pythondata_software_compiler_rt/data/www/content.css' 2024-01-19 02:41:21,404 wheel INFO adding 'pythondata_software_compiler_rt/data/www/index.html' 2024-01-19 02:41:21,404 wheel INFO adding 'pythondata_software_compiler_rt/data/www/menu.css' 2024-01-19 02:41:21,404 wheel INFO adding 'pythondata_software_compiler_rt/data/www/menu.html.incl' 2024-01-19 02:41:21,404 wheel INFO adding 'pythondata_software_compiler_rt-0.0.post6189.dist-info/METADATA' 2024-01-19 02:41:21,404 wheel INFO adding 'pythondata_software_compiler_rt-0.0.post6189.dist-info/WHEEL' 2024-01-19 02:41:21,405 wheel INFO adding 'pythondata_software_compiler_rt-0.0.post6189.dist-info/top_level.txt' 2024-01-19 02:41:21,413 wheel INFO adding 'pythondata_software_compiler_rt-0.0.post6189.dist-info/RECORD' 2024-01-19 02:41:21,420 wheel INFO removing build/bdist.linux-x86_64/wheel 2024-01-19 02:41:21,463 gpep517 INFO The backend produced dist/pythondata_software_compiler_rt-0.0.post6189-py3-none-any.whl pythondata_software_compiler_rt-0.0.post6189-py3-none-any.whl --> Building LiteX Hub module pythondata-software-picolibc 2024-01-19 02:41:21,672 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 02:41:21,715 root INFO running bdist_wheel 2024-01-19 02:41:21,732 root INFO running build 2024-01-19 02:41:21,732 root INFO running build_py 2024-01-19 02:41:21,734 root INFO creating build 2024-01-19 02:41:21,734 root INFO creating build/lib 2024-01-19 02:41:21,734 root INFO creating build/lib/pythondata_software_picolibc 2024-01-19 02:41:21,734 root INFO copying pythondata_software_picolibc/__init__.py -> build/lib/pythondata_software_picolibc 2024-01-19 02:41:21,736 root INFO creating build/lib/pythondata_software_picolibc/data 2024-01-19 02:41:21,737 root INFO creating build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:21,737 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/doc 2024-01-19 02:41:21,737 root INFO copying pythondata_software_picolibc/data/newlib/doc/chapter-texi2docbook.py -> build/lib/pythondata_software_picolibc/data/newlib/doc 2024-01-19 02:41:21,737 root INFO copying pythondata_software_picolibc/data/newlib/doc/makedocbook.py -> build/lib/pythondata_software_picolibc/data/newlib/doc 2024-01-19 02:41:21,755 root INFO running egg_info 2024-01-19 02:41:21,755 root INFO creating pythondata_software_picolibc.egg-info 2024-01-19 02:41:21,757 root INFO writing pythondata_software_picolibc.egg-info/PKG-INFO 2024-01-19 02:41:21,757 root INFO writing dependency_links to pythondata_software_picolibc.egg-info/dependency_links.txt 2024-01-19 02:41:21,757 root INFO writing top-level names to pythondata_software_picolibc.egg-info/top_level.txt 2024-01-19 02:41:21,758 root INFO writing manifest file 'pythondata_software_picolibc.egg-info/SOURCES.txt' 2024-01-19 02:41:21,791 root INFO reading manifest file 'pythondata_software_picolibc.egg-info/SOURCES.txt' 2024-01-19 02:41:21,792 root INFO reading manifest template 'MANIFEST.in' 2024-01-19 02:41:21,843 root WARNING warning: no previously-included files matching '*.py[cod]' found anywhere in distribution 2024-01-19 02:41:21,843 root INFO adding license file 'LICENSE' 2024-01-19 02:41:21,881 root INFO writing manifest file 'pythondata_software_picolibc.egg-info/SOURCES.txt' 2024-01-19 02:41:21,976 root INFO copying pythondata_software_picolibc/data/.clang-format -> build/lib/pythondata_software_picolibc/data 2024-01-19 02:41:21,976 root INFO copying pythondata_software_picolibc/data/.editorconfig -> build/lib/pythondata_software_picolibc/data 2024-01-19 02:41:21,976 root INFO copying pythondata_software_picolibc/data/.gitattributes -> build/lib/pythondata_software_picolibc/data 2024-01-19 02:41:21,977 root INFO copying pythondata_software_picolibc/data/.gitignore -> build/lib/pythondata_software_picolibc/data 2024-01-19 02:41:21,977 root INFO copying pythondata_software_picolibc/data/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data 2024-01-19 02:41:21,977 root INFO copying pythondata_software_picolibc/data/CODE_OF_CONDUCT.md -> build/lib/pythondata_software_picolibc/data 2024-01-19 02:41:21,977 root INFO copying pythondata_software_picolibc/data/CONTRIBUTING.md -> build/lib/pythondata_software_picolibc/data 2024-01-19 02:41:21,978 root INFO copying pythondata_software_picolibc/data/COPYING.GPL2 -> build/lib/pythondata_software_picolibc/data 2024-01-19 02:41:21,978 root INFO copying pythondata_software_picolibc/data/COPYING.NEWLIB -> build/lib/pythondata_software_picolibc/data 2024-01-19 02:41:21,978 root INFO copying pythondata_software_picolibc/data/COPYING.picolibc -> build/lib/pythondata_software_picolibc/data 2024-01-19 02:41:21,979 root INFO copying pythondata_software_picolibc/data/README.md -> build/lib/pythondata_software_picolibc/data 2024-01-19 02:41:21,979 root INFO copying pythondata_software_picolibc/data/cross.tmpl -> build/lib/pythondata_software_picolibc/data 2024-01-19 02:41:21,980 root INFO copying pythondata_software_picolibc/data/find-copyright -> build/lib/pythondata_software_picolibc/data 2024-01-19 02:41:21,980 root INFO copying pythondata_software_picolibc/data/make-copyrights -> build/lib/pythondata_software_picolibc/data 2024-01-19 02:41:21,980 root INFO copying pythondata_software_picolibc/data/meson.build -> build/lib/pythondata_software_picolibc/data 2024-01-19 02:41:21,981 root INFO copying pythondata_software_picolibc/data/meson_options.txt -> build/lib/pythondata_software_picolibc/data 2024-01-19 02:41:21,981 root INFO copying pythondata_software_picolibc/data/picolibc.h.in -> build/lib/pythondata_software_picolibc/data 2024-01-19 02:41:21,981 root INFO copying pythondata_software_picolibc/data/picolibc.ld.in -> build/lib/pythondata_software_picolibc/data 2024-01-19 02:41:21,981 root INFO copying pythondata_software_picolibc/data/picolibc.specs.in -> build/lib/pythondata_software_picolibc/data 2024-01-19 02:41:21,982 root INFO copying pythondata_software_picolibc/data/test.specs.in -> build/lib/pythondata_software_picolibc/data 2024-01-19 02:41:21,982 root INFO creating build/lib/pythondata_software_picolibc/data/.github 2024-01-19 02:41:21,982 root INFO copying pythondata_software_picolibc/data/.github/CODEOWNERS -> build/lib/pythondata_software_picolibc/data/.github 2024-01-19 02:41:21,982 root INFO copying pythondata_software_picolibc/data/.github/Dockerfile -> build/lib/pythondata_software_picolibc/data/.github 2024-01-19 02:41:21,983 root INFO copying pythondata_software_picolibc/data/.github/do-build -> build/lib/pythondata_software_picolibc/data/.github 2024-01-19 02:41:21,983 root INFO copying pythondata_software_picolibc/data/.github/do-cmake-test -> build/lib/pythondata_software_picolibc/data/.github 2024-01-19 02:41:21,983 root INFO copying pythondata_software_picolibc/data/.github/do-many -> build/lib/pythondata_software_picolibc/data/.github 2024-01-19 02:41:21,983 root INFO copying pythondata_software_picolibc/data/.github/do-test -> build/lib/pythondata_software_picolibc/data/.github 2024-01-19 02:41:21,984 root INFO copying pythondata_software_picolibc/data/.github/do-zephyr -> build/lib/pythondata_software_picolibc/data/.github 2024-01-19 02:41:21,984 root INFO copying pythondata_software_picolibc/data/.github/do-zephyr-build -> build/lib/pythondata_software_picolibc/data/.github 2024-01-19 02:41:21,984 root INFO copying pythondata_software_picolibc/data/.github/extra-files.txt -> build/lib/pythondata_software_picolibc/data/.github 2024-01-19 02:41:21,984 root INFO copying pythondata_software_picolibc/data/.github/packages.txt -> build/lib/pythondata_software_picolibc/data/.github 2024-01-19 02:41:21,985 root INFO creating build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:21,985 root INFO copying pythondata_software_picolibc/data/.github/workflows/head -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:21,985 root INFO copying pythondata_software_picolibc/data/.github/workflows/linux.yml -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:21,985 root INFO copying pythondata_software_picolibc/data/.github/workflows/macos.yml -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:21,986 root INFO copying pythondata_software_picolibc/data/.github/workflows/make-workflow -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:21,986 root INFO copying pythondata_software_picolibc/data/.github/workflows/steps-cmake -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:21,986 root INFO copying pythondata_software_picolibc/data/.github/workflows/steps-fortify-source -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:21,986 root INFO copying pythondata_software_picolibc/data/.github/workflows/steps-head -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:21,986 root INFO copying pythondata_software_picolibc/data/.github/workflows/steps-minsize -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:21,987 root INFO copying pythondata_software_picolibc/data/.github/workflows/steps-release -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:21,987 root INFO copying pythondata_software_picolibc/data/.github/workflows/targets-arm -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:21,987 root INFO copying pythondata_software_picolibc/data/.github/workflows/targets-cmake-arm -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:21,987 root INFO copying pythondata_software_picolibc/data/.github/workflows/targets-mips -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:21,988 root INFO copying pythondata_software_picolibc/data/.github/workflows/targets-misc -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:21,988 root INFO copying pythondata_software_picolibc/data/.github/workflows/targets-ppc -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:21,988 root INFO copying pythondata_software_picolibc/data/.github/workflows/targets-riscv -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:21,988 root INFO copying pythondata_software_picolibc/data/.github/workflows/targets-zephyr -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:21,988 root INFO copying pythondata_software_picolibc/data/.github/workflows/variants -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:21,989 root INFO copying pythondata_software_picolibc/data/.github/workflows/variants-cmake -> build/lib/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:21,989 root INFO copying pythondata_software_picolibc/data/newlib/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:21,989 root INFO copying pythondata_software_picolibc/data/newlib/ChangeLog -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:21,989 root INFO copying pythondata_software_picolibc/data/newlib/ChangeLog-2015 -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:21,991 root INFO copying pythondata_software_picolibc/data/newlib/HOWTO -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:21,991 root INFO copying pythondata_software_picolibc/data/newlib/MAINTAINERS -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:21,992 root INFO copying pythondata_software_picolibc/data/newlib/NEWS -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:21,992 root INFO copying pythondata_software_picolibc/data/newlib/README -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:21,992 root INFO copying pythondata_software_picolibc/data/newlib/empty.c -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:21,992 root INFO copying pythondata_software_picolibc/data/newlib/man.xsl -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:21,993 root INFO copying pythondata_software_picolibc/data/newlib/meson.build -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:21,993 root INFO copying pythondata_software_picolibc/data/newlib/newlib.hin -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:21,993 root INFO copying pythondata_software_picolibc/data/newlib/refcontainers.xslt -> build/lib/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:21,993 root INFO creating build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,993 root INFO copying pythondata_software_picolibc/data/test/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,994 root INFO copying pythondata_software_picolibc/data/test/abort.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,994 root INFO copying pythondata_software_picolibc/data/test/atexit.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,994 root INFO copying pythondata_software_picolibc/data/test/complex-funcs.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,994 root INFO copying pythondata_software_picolibc/data/test/constructor-skip.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,995 root INFO copying pythondata_software_picolibc/data/test/constructor.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,995 root INFO copying pythondata_software_picolibc/data/test/fenv.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,995 root INFO copying pythondata_software_picolibc/data/test/ffs.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,995 root INFO copying pythondata_software_picolibc/data/test/hosted-exit.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,995 root INFO copying pythondata_software_picolibc/data/test/lock-valid.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,996 root INFO copying pythondata_software_picolibc/data/test/malloc.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,996 root INFO copying pythondata_software_picolibc/data/test/malloc_stress.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,996 root INFO copying pythondata_software_picolibc/data/test/math-funcs.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,996 root INFO copying pythondata_software_picolibc/data/test/math_errhandling.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,996 root INFO copying pythondata_software_picolibc/data/test/math_errhandling_tests.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,997 root INFO copying pythondata_software_picolibc/data/test/meson.build -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,997 root INFO copying pythondata_software_picolibc/data/test/on_exit.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,997 root INFO copying pythondata_software_picolibc/data/test/posix-io.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,998 root INFO copying pythondata_software_picolibc/data/test/printf-tests.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,998 root INFO copying pythondata_software_picolibc/data/test/printf_scanf.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,998 root INFO copying pythondata_software_picolibc/data/test/rand.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,998 root INFO copying pythondata_software_picolibc/data/test/regex.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,998 root INFO copying pythondata_software_picolibc/data/test/rounding-mode-sub.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,999 root INFO copying pythondata_software_picolibc/data/test/rounding-mode.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,999 root INFO copying pythondata_software_picolibc/data/test/setjmp.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,999 root INFO copying pythondata_software_picolibc/data/test/stack-smash.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:21,999 root INFO copying pythondata_software_picolibc/data/test/test-efcvt.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:22,000 root INFO copying pythondata_software_picolibc/data/test/test-except.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:22,000 root INFO copying pythondata_software_picolibc/data/test/test-fopen.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:22,000 root INFO copying pythondata_software_picolibc/data/test/test-memset.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:22,000 root INFO copying pythondata_software_picolibc/data/test/test-mktemp.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:22,001 root INFO copying pythondata_software_picolibc/data/test/test-put.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:22,001 root INFO copying pythondata_software_picolibc/data/test/test-strchr.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:22,001 root INFO copying pythondata_software_picolibc/data/test/test-strtod.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:22,001 root INFO copying pythondata_software_picolibc/data/test/testcases.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:22,002 root INFO copying pythondata_software_picolibc/data/test/time-sprintf.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:22,002 root INFO copying pythondata_software_picolibc/data/test/time-tests.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:22,002 root INFO copying pythondata_software_picolibc/data/test/timegm.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:22,002 root INFO copying pythondata_software_picolibc/data/test/timegm.h -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:22,003 root INFO copying pythondata_software_picolibc/data/test/tls.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:22,003 root INFO copying pythondata_software_picolibc/data/test/try-ilp32-sub.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:22,003 root INFO copying pythondata_software_picolibc/data/test/try-ilp32.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:22,004 root INFO copying pythondata_software_picolibc/data/test/try-ilp32.h -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:22,004 root INFO copying pythondata_software_picolibc/data/test/ungetc.c -> build/lib/pythondata_software_picolibc/data/test 2024-01-19 02:41:22,004 root INFO creating build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,004 root INFO copying pythondata_software_picolibc/data/semihost/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,004 root INFO copying pythondata_software_picolibc/data/semihost/close.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,005 root INFO copying pythondata_software_picolibc/data/semihost/exit.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,005 root INFO copying pythondata_software_picolibc/data/semihost/fstat.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,005 root INFO copying pythondata_software_picolibc/data/semihost/getentropy.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,005 root INFO copying pythondata_software_picolibc/data/semihost/gettimeofday.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,005 root INFO copying pythondata_software_picolibc/data/semihost/iob.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,006 root INFO copying pythondata_software_picolibc/data/semihost/isatty.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,006 root INFO copying pythondata_software_picolibc/data/semihost/kill.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,006 root INFO copying pythondata_software_picolibc/data/semihost/lseek.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,006 root INFO copying pythondata_software_picolibc/data/semihost/lseek64.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,006 root INFO copying pythondata_software_picolibc/data/semihost/mapstdio.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,007 root INFO copying pythondata_software_picolibc/data/semihost/meson.build -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,007 root INFO copying pythondata_software_picolibc/data/semihost/open.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,007 root INFO copying pythondata_software_picolibc/data/semihost/read.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,007 root INFO copying pythondata_software_picolibc/data/semihost/semihost-private.h -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,008 root INFO copying pythondata_software_picolibc/data/semihost/semihost.h -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,008 root INFO copying pythondata_software_picolibc/data/semihost/sys_clock.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,008 root INFO copying pythondata_software_picolibc/data/semihost/sys_close.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,008 root INFO copying pythondata_software_picolibc/data/semihost/sys_elapsed.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,008 root INFO copying pythondata_software_picolibc/data/semihost/sys_errno.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,009 root INFO copying pythondata_software_picolibc/data/semihost/sys_exit.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,009 root INFO copying pythondata_software_picolibc/data/semihost/sys_exit_extended.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,009 root INFO copying pythondata_software_picolibc/data/semihost/sys_feature.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,009 root INFO copying pythondata_software_picolibc/data/semihost/sys_flen.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,010 root INFO copying pythondata_software_picolibc/data/semihost/sys_get_cmdline.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,010 root INFO copying pythondata_software_picolibc/data/semihost/sys_getc.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,010 root INFO copying pythondata_software_picolibc/data/semihost/sys_heapinfo.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,010 root INFO copying pythondata_software_picolibc/data/semihost/sys_iserror.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,010 root INFO copying pythondata_software_picolibc/data/semihost/sys_istty.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,011 root INFO copying pythondata_software_picolibc/data/semihost/sys_open.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,011 root INFO copying pythondata_software_picolibc/data/semihost/sys_putc.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,011 root INFO copying pythondata_software_picolibc/data/semihost/sys_read.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,011 root INFO copying pythondata_software_picolibc/data/semihost/sys_remove.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,012 root INFO copying pythondata_software_picolibc/data/semihost/sys_rename.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,012 root INFO copying pythondata_software_picolibc/data/semihost/sys_seek.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,012 root INFO copying pythondata_software_picolibc/data/semihost/sys_system.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,012 root INFO copying pythondata_software_picolibc/data/semihost/sys_tickfreq.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,012 root INFO copying pythondata_software_picolibc/data/semihost/sys_time.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,013 root INFO copying pythondata_software_picolibc/data/semihost/sys_tmpnam.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,013 root INFO copying pythondata_software_picolibc/data/semihost/sys_write.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,013 root INFO copying pythondata_software_picolibc/data/semihost/sys_write0.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,013 root INFO copying pythondata_software_picolibc/data/semihost/unlink.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,013 root INFO copying pythondata_software_picolibc/data/semihost/write.c -> build/lib/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:22,014 root INFO creating build/lib/pythondata_software_picolibc/data/doc 2024-01-19 02:41:22,014 root INFO copying pythondata_software_picolibc/data/doc/build.md -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 02:41:22,014 root INFO copying pythondata_software_picolibc/data/doc/embedsource.md -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 02:41:22,014 root INFO copying pythondata_software_picolibc/data/doc/init.md -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 02:41:22,015 root INFO copying pythondata_software_picolibc/data/doc/linking.md -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 02:41:22,015 root INFO copying pythondata_software_picolibc/data/doc/locking.md -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 02:41:22,015 root INFO copying pythondata_software_picolibc/data/doc/os.md -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 02:41:22,015 root INFO copying pythondata_software_picolibc/data/doc/picolibc.svg -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 02:41:22,015 root INFO copying pythondata_software_picolibc/data/doc/printf.md -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 02:41:22,016 root INFO copying pythondata_software_picolibc/data/doc/releasing.md -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 02:41:22,016 root INFO copying pythondata_software_picolibc/data/doc/testing.md -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 02:41:22,016 root INFO copying pythondata_software_picolibc/data/doc/tls.md -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 02:41:22,016 root INFO copying pythondata_software_picolibc/data/doc/using.md -> build/lib/pythondata_software_picolibc/data/doc 2024-01-19 02:41:22,017 root INFO creating build/lib/pythondata_software_picolibc/data/picocrt 2024-01-19 02:41:22,017 root INFO copying pythondata_software_picolibc/data/picocrt/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/picocrt 2024-01-19 02:41:22,017 root INFO copying pythondata_software_picolibc/data/picocrt/crt0.h -> build/lib/pythondata_software_picolibc/data/picocrt 2024-01-19 02:41:22,017 root INFO copying pythondata_software_picolibc/data/picocrt/meson.build -> build/lib/pythondata_software_picolibc/data/picocrt 2024-01-19 02:41:22,017 root INFO creating build/lib/pythondata_software_picolibc/data/dummyhost 2024-01-19 02:41:22,017 root INFO copying pythondata_software_picolibc/data/dummyhost/iob.c -> build/lib/pythondata_software_picolibc/data/dummyhost 2024-01-19 02:41:22,018 root INFO copying pythondata_software_picolibc/data/dummyhost/meson.build -> build/lib/pythondata_software_picolibc/data/dummyhost 2024-01-19 02:41:22,018 root INFO creating build/lib/pythondata_software_picolibc/data/zephyr 2024-01-19 02:41:22,018 root INFO copying pythondata_software_picolibc/data/zephyr/Kconfig -> build/lib/pythondata_software_picolibc/data/zephyr 2024-01-19 02:41:22,018 root INFO copying pythondata_software_picolibc/data/zephyr/module.yml -> build/lib/pythondata_software_picolibc/data/zephyr 2024-01-19 02:41:22,018 root INFO copying pythondata_software_picolibc/data/zephyr/zephyr.cmake -> build/lib/pythondata_software_picolibc/data/zephyr 2024-01-19 02:41:22,019 root INFO creating build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,019 root INFO copying pythondata_software_picolibc/data/scripts/GeneratePicolibcCrossFile.sh -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,019 root INFO copying pythondata_software_picolibc/data/scripts/cross-aarch64-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,019 root INFO copying pythondata_software_picolibc/data/scripts/cross-aarch64-zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,020 root INFO copying pythondata_software_picolibc/data/scripts/cross-arc-zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,020 root INFO copying pythondata_software_picolibc/data/scripts/cross-arc64-zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,020 root INFO copying pythondata_software_picolibc/data/scripts/cross-arm-none-eabi.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,020 root INFO copying pythondata_software_picolibc/data/scripts/cross-arm-zephyr-eabi.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,020 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-msp430.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,021 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-old-riscv64-unknown-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,021 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-old-rv32imafdc-unknown-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,021 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-riscv64-unknown-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,021 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-rv32imafdc-unknown-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,021 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-thumbv6m-none-eabi.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,022 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-thumbv7e+fp-none-eabi.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,022 root INFO copying pythondata_software_picolibc/data/scripts/cross-clang-thumbv7m-none-eabi.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,022 root INFO copying pythondata_software_picolibc/data/scripts/cross-cortex-a9-none-eabi.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,022 root INFO copying pythondata_software_picolibc/data/scripts/cross-i686-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,023 root INFO copying pythondata_software_picolibc/data/scripts/cross-m68k-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,023 root INFO copying pythondata_software_picolibc/data/scripts/cross-mips-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,023 root INFO copying pythondata_software_picolibc/data/scripts/cross-mipsel-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,023 root INFO copying pythondata_software_picolibc/data/scripts/cross-msp430.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,023 root INFO copying pythondata_software_picolibc/data/scripts/cross-nios2-zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,024 root INFO copying pythondata_software_picolibc/data/scripts/cross-old-clang-riscv64-unknown-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,024 root INFO copying pythondata_software_picolibc/data/scripts/cross-powerpc64-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,024 root INFO copying pythondata_software_picolibc/data/scripts/cross-powerpc64le-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,024 root INFO copying pythondata_software_picolibc/data/scripts/cross-riscv64-unknown-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,024 root INFO copying pythondata_software_picolibc/data/scripts/cross-riscv64-zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,025 root INFO copying pythondata_software_picolibc/data/scripts/cross-rv32imac.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,025 root INFO copying pythondata_software_picolibc/data/scripts/cross-rv32imac_zicsr.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,025 root INFO copying pythondata_software_picolibc/data/scripts/cross-sparc64-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,025 root INFO copying pythondata_software_picolibc/data/scripts/cross-x86-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,026 root INFO copying pythondata_software_picolibc/data/scripts/cross-x86_64-linux-gnu.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,026 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-esp32-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,026 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,026 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32s2_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,026 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32s2_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,027 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_apl_adsp_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,027 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_apl_adsp_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,027 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_bdw_adsp_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,027 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_bdw_adsp_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,027 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_byt_adsp_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,028 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_byt_adsp_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,028 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_s1000_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,028 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-intel_s1000_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,028 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-lx106-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,029 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx8m_adsp_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,029 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx8m_adsp_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,029 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx_adsp_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,029 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx_adsp_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,029 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-sample_controller_zephyr-elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,030 root INFO copying pythondata_software_picolibc/data/scripts/cross-xtensa-sample_controller_zephyr_elf.txt -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,030 root INFO copying pythondata_software_picolibc/data/scripts/do-aarch64-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,030 root INFO copying pythondata_software_picolibc/data/scripts/do-aarch64-zephyr-elf-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,030 root INFO copying pythondata_software_picolibc/data/scripts/do-arc-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,030 root INFO copying pythondata_software_picolibc/data/scripts/do-arc64-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,031 root INFO copying pythondata_software_picolibc/data/scripts/do-arm-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,031 root INFO copying pythondata_software_picolibc/data/scripts/do-clang-arm-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,031 root INFO copying pythondata_software_picolibc/data/scripts/do-clang-msp430-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,031 root INFO copying pythondata_software_picolibc/data/scripts/do-clang-riscv-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,032 root INFO copying pythondata_software_picolibc/data/scripts/do-clang-rv32imafdc-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,032 root INFO copying pythondata_software_picolibc/data/scripts/do-clang-thumbv6m-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,032 root INFO copying pythondata_software_picolibc/data/scripts/do-clang-thumbv7e+fp-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,032 root INFO copying pythondata_software_picolibc/data/scripts/do-clang-thumbv7m-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,032 root INFO copying pythondata_software_picolibc/data/scripts/do-cmake-thumbv7m-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,033 root INFO copying pythondata_software_picolibc/data/scripts/do-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,033 root INFO copying pythondata_software_picolibc/data/scripts/do-cortex-a9-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,033 root INFO copying pythondata_software_picolibc/data/scripts/do-esp32-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,033 root INFO copying pythondata_software_picolibc/data/scripts/do-freedom-tools-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,034 root INFO copying pythondata_software_picolibc/data/scripts/do-freedom-tools-package -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,034 root INFO copying pythondata_software_picolibc/data/scripts/do-i386-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,034 root INFO copying pythondata_software_picolibc/data/scripts/do-lx106-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,034 root INFO copying pythondata_software_picolibc/data/scripts/do-m68k-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,034 root INFO copying pythondata_software_picolibc/data/scripts/do-mips-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,035 root INFO copying pythondata_software_picolibc/data/scripts/do-mipsel-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,035 root INFO copying pythondata_software_picolibc/data/scripts/do-msp430-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,035 root INFO copying pythondata_software_picolibc/data/scripts/do-native-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,035 root INFO copying pythondata_software_picolibc/data/scripts/do-nios2-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,036 root INFO copying pythondata_software_picolibc/data/scripts/do-powerpc64-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,036 root INFO copying pythondata_software_picolibc/data/scripts/do-powerpc64le-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,036 root INFO copying pythondata_software_picolibc/data/scripts/do-riscv-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,036 root INFO copying pythondata_software_picolibc/data/scripts/do-rv32imac-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,036 root INFO copying pythondata_software_picolibc/data/scripts/do-sparc64-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,037 root INFO copying pythondata_software_picolibc/data/scripts/do-x86-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,037 root INFO copying pythondata_software_picolibc/data/scripts/do-x86_64-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,037 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-espressif_esp32-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,037 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-espressif_esp32s2-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,037 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-intel_apl_adsp-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,038 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-intel_bdw_adsp-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,038 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-intel_byt_adsp-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,038 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-intel_s1000-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,038 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-nxp_imx8m_adsp-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,039 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-nxp_imx_adsp-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,039 root INFO copying pythondata_software_picolibc/data/scripts/do-xtensa-sample_controller-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,039 root INFO copying pythondata_software_picolibc/data/scripts/do-zephyr-aarch64-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,039 root INFO copying pythondata_software_picolibc/data/scripts/do-zephyr-arm-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,039 root INFO copying pythondata_software_picolibc/data/scripts/do-zephyr-riscv-configure -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,040 root INFO copying pythondata_software_picolibc/data/scripts/duplicate-names -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,040 root INFO copying pythondata_software_picolibc/data/scripts/monitor-e9 -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,040 root INFO copying pythondata_software_picolibc/data/scripts/run-aarch64 -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,040 root INFO copying pythondata_software_picolibc/data/scripts/run-arm -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,041 root INFO copying pythondata_software_picolibc/data/scripts/run-cortex-a9 -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,041 root INFO copying pythondata_software_picolibc/data/scripts/run-i386 -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,041 root INFO copying pythondata_software_picolibc/data/scripts/run-riscv -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,041 root INFO copying pythondata_software_picolibc/data/scripts/run-rv32imac -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,042 root INFO copying pythondata_software_picolibc/data/scripts/run-rv32imafdc -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,042 root INFO copying pythondata_software_picolibc/data/scripts/run-thumbv6m -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,042 root INFO copying pythondata_software_picolibc/data/scripts/run-thumbv7e -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,042 root INFO copying pythondata_software_picolibc/data/scripts/run-thumbv7m -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,042 root INFO copying pythondata_software_picolibc/data/scripts/run-x86 -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,043 root INFO copying pythondata_software_picolibc/data/scripts/run-x86_64 -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,043 root INFO copying pythondata_software_picolibc/data/scripts/test-aarch64.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,043 root INFO copying pythondata_software_picolibc/data/scripts/test-arm.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,043 root INFO copying pythondata_software_picolibc/data/scripts/test-cortex-a9.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,044 root INFO copying pythondata_software_picolibc/data/scripts/test-i386.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,044 root INFO copying pythondata_software_picolibc/data/scripts/test-m68k.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,044 root INFO copying pythondata_software_picolibc/data/scripts/test-riscv.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,044 root INFO copying pythondata_software_picolibc/data/scripts/test-riscv32.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,044 root INFO copying pythondata_software_picolibc/data/scripts/test-riscv64.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,045 root INFO copying pythondata_software_picolibc/data/scripts/test-x86.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,045 root INFO copying pythondata_software_picolibc/data/scripts/test-x86_64.ld -> build/lib/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:22,045 root INFO creating build/lib/pythondata_software_picolibc/data/cmake 2024-01-19 02:41:22,045 root INFO copying pythondata_software_picolibc/data/cmake/TC-arm-none-eabi.cmake -> build/lib/pythondata_software_picolibc/data/cmake 2024-01-19 02:41:22,046 root INFO copying pythondata_software_picolibc/data/cmake/TC-arm-none-eabi.ld -> build/lib/pythondata_software_picolibc/data/cmake 2024-01-19 02:41:22,046 root INFO copying pythondata_software_picolibc/data/cmake/have-alias-attribute.c -> build/lib/pythondata_software_picolibc/data/cmake 2024-01-19 02:41:22,046 root INFO copying pythondata_software_picolibc/data/cmake/have-alloc-size.c -> build/lib/pythondata_software_picolibc/data/cmake 2024-01-19 02:41:22,046 root INFO copying pythondata_software_picolibc/data/cmake/have-attribute-always-inline.c -> build/lib/pythondata_software_picolibc/data/cmake 2024-01-19 02:41:22,046 root INFO copying pythondata_software_picolibc/data/cmake/have-attribute-gnu-inline.c -> build/lib/pythondata_software_picolibc/data/cmake 2024-01-19 02:41:22,047 root INFO copying pythondata_software_picolibc/data/cmake/have-long-double.c -> build/lib/pythondata_software_picolibc/data/cmake 2024-01-19 02:41:22,047 root INFO copying pythondata_software_picolibc/data/cmake/ldbl-eq-dbl.c -> build/lib/pythondata_software_picolibc/data/cmake 2024-01-19 02:41:22,047 root INFO copying pythondata_software_picolibc/data/cmake/picolibc.cmake -> build/lib/pythondata_software_picolibc/data/cmake 2024-01-19 02:41:22,047 root INFO creating build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:22,047 root INFO copying pythondata_software_picolibc/data/hello-world/.gitignore -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:22,048 root INFO copying pythondata_software_picolibc/data/hello-world/Makefile -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:22,048 root INFO copying pythondata_software_picolibc/data/hello-world/README.md -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:22,048 root INFO copying pythondata_software_picolibc/data/hello-world/aarch64-cpp.ld -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:22,048 root INFO copying pythondata_software_picolibc/data/hello-world/aarch64.ld -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:22,048 root INFO copying pythondata_software_picolibc/data/hello-world/arm-cpp.ld -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:22,049 root INFO copying pythondata_software_picolibc/data/hello-world/arm.ld -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:22,049 root INFO copying pythondata_software_picolibc/data/hello-world/hello-world.c -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:22,049 root INFO copying pythondata_software_picolibc/data/hello-world/hello-worldpp.cpp -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:22,049 root INFO copying pythondata_software_picolibc/data/hello-world/printf.c -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:22,050 root INFO copying pythondata_software_picolibc/data/hello-world/riscv-cpp.ld -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:22,050 root INFO copying pythondata_software_picolibc/data/hello-world/riscv.ld -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:22,050 root INFO copying pythondata_software_picolibc/data/hello-world/run-aarch64 -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:22,050 root INFO copying pythondata_software_picolibc/data/hello-world/run-aarch64++ -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:22,050 root INFO copying pythondata_software_picolibc/data/hello-world/run-arm -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:22,051 root INFO copying pythondata_software_picolibc/data/hello-world/run-riscv -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:22,051 root INFO copying pythondata_software_picolibc/data/hello-world/run-riscv++ -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:22,051 root INFO copying pythondata_software_picolibc/data/hello-world/test-file -> build/lib/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:22,051 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc 2024-01-19 02:41:22,051 root INFO copying pythondata_software_picolibc/data/newlib/libc/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc 2024-01-19 02:41:22,052 root INFO copying pythondata_software_picolibc/data/newlib/libc/libc.in.xml -> build/lib/pythondata_software_picolibc/data/newlib/libc 2024-01-19 02:41:22,052 root INFO copying pythondata_software_picolibc/data/newlib/libc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc 2024-01-19 02:41:22,052 root INFO copying pythondata_software_picolibc/data/newlib/libc/sys.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc 2024-01-19 02:41:22,052 root INFO copying pythondata_software_picolibc/data/newlib/doc/.gitignore -> build/lib/pythondata_software_picolibc/data/newlib/doc 2024-01-19 02:41:22,053 root INFO copying pythondata_software_picolibc/data/newlib/doc/doc.str -> build/lib/pythondata_software_picolibc/data/newlib/doc 2024-01-19 02:41:22,053 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite 2024-01-19 02:41:22,053 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite 2024-01-19 02:41:22,053 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/stdio-bits.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite 2024-01-19 02:41:22,053 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix 2024-01-19 02:41:22,054 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.elix/elix.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix 2024-01-19 02:41:22,054 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.elix/tmmap.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix 2024-01-19 02:41:22,054 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 02:41:22,054 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconv.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 02:41:22,054 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvjp.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 02:41:22,055 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvnm.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 02:41:22,055 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvru.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 02:41:22,055 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 02:41:22,055 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-01-19 02:41:22,056 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/UTF-8.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-01-19 02:41:22,056 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/UTF-8.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-01-19 02:41:22,056 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/locale.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-01-19 02:41:22,056 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-01-19 02:41:22,056 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-01-19 02:41:22,057 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.search/hsearchtest.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-01-19 02:41:22,057 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.search/hsearchtest.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-01-19 02:41:22,057 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.search/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-01-19 02:41:22,057 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-01-19 02:41:22,057 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-01-19 02:41:22,058 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/nulprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-01-19 02:41:22,058 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/stdio.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-01-19 02:41:22,058 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/swprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-01-19 02:41:22,058 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 02:41:22,058 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 02:41:22,059 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/atexit.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 02:41:22,059 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 02:41:22,059 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/size_max.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 02:41:22,059 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/stdlib.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 02:41:22,059 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 02:41:22,060 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.string/memcpy-1.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 02:41:22,060 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.string/memmove1.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 02:41:22,060 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.string/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 02:41:22,060 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.string/strcmp-1.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 02:41:22,061 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.string/string.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 02:41:22,061 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.string/tstring.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 02:41:22,061 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-01-19 02:41:22,061 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.time/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-01-19 02:41:22,061 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.time/time.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-01-19 02:41:22,062 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.time/tzset.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-01-19 02:41:22,062 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 02:41:22,062 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 02:41:22,062 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/tiswctype.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 02:41:22,062 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/twctrans.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 02:41:22,063 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/twctype.c -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 02:41:22,063 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/wctype.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 02:41:22,063 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm 2024-01-19 02:41:22,063 root INFO copying pythondata_software_picolibc/data/newlib/libm/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm 2024-01-19 02:41:22,063 root INFO copying pythondata_software_picolibc/data/newlib/libm/libm.in.xml -> build/lib/pythondata_software_picolibc/data/newlib/libm 2024-01-19 02:41:22,064 root INFO copying pythondata_software_picolibc/data/newlib/libm/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm 2024-01-19 02:41:22,064 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,064 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,064 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/_Exit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,064 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/__atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,065 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/__call_atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,065 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/a64l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,065 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/abort.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,065 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/abs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,066 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/aligned_alloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,066 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,066 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,066 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random_uniform.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,066 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/assert.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,067 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,067 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/atexit.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,067 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/atof.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,067 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/atoff.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,068 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/atoi.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,068 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/atol.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,068 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/atoll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,068 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/btowc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,068 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/calloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,069 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/chacha_private.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,069 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/cxa_atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,069 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/cxa_finalize.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,069 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/div.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,069 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/drand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,070 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/dtoa.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,070 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/ecvtbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,070 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/efgcvt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,070 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/environ.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,071 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/eprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,071 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/erand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,071 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/exit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,071 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-dmisc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,072 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gdtoa.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,072 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gethex.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,072 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gmisc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,072 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-hexnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,072 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-ldtoa.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,073 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,073 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoaimp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,073 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/getenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,073 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/getenv_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,074 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/getopt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,074 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/getsubopt.3 -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,074 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/getsubopt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,074 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/imaxabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,075 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/imaxdiv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,075 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/itoa.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,075 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/jrand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,075 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/l64a.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,075 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/labs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,076 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/lcong48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,076 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/ldiv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,076 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/ldtoa.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,076 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/llabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,077 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/lldiv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,077 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,077 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/lrand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,077 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-calloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,078 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-cfree.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,078 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-free.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,078 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-mallinfo.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,078 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,078 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc_stats.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,079 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc_usable_size.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,079 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-mallopt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,079 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-memalign.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,079 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-pvalloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,080 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-realloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,080 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-valloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,080 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mallocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,080 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbctype.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,081 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mblen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,081 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbrlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,081 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbrtowc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,081 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbsinit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,082 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbsnrtowcs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,082 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbsrtowcs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,082 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbstowcs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,082 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbtowc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,082 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mbtowc_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,083 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,083 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mprec.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,083 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mprec.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,083 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mrand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,084 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mstats.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,084 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mtrim.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,084 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/mul_overflow.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,084 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-calloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,085 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-cfree.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,085 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-free.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,085 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-getpagesize.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,085 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-mallinfo.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,085 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,086 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc_stats.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,086 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc_usable_size.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,086 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-mallopt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,086 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-memalign.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,087 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-posix_memalign.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,087 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-pvalloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,087 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-realloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,087 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-valloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,088 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nano-mallocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,088 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/nrand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,088 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,088 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit_args.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,088 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit_args.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,089 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/pico-atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,089 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/pico-cxa-atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,089 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/pico-exit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,089 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/pico-onexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,090 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/pico-onexit.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,090 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/putenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,090 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/quick_exit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,090 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/rand.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,090 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/rand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,091 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/rand48.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,091 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/rand_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,091 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/random.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,091 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/reallocarray.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,092 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/reallocf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,092 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/rpmatch.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,092 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/sb_charsets.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,092 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/seed48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,093 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/setenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,093 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/srand.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,093 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/srand48.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,093 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/srandom.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,093 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/std.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,094 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/stdlib.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,094 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtod.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,094 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtodg.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,094 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtoimax.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,095 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtol.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,095 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtold.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,095 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtoll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,095 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtorx.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,096 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtoul.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,096 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtoull.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,096 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/strtoumax.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,096 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/system.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,097 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/utoa.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,097 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcrtomb.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,097 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcsnrtombs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,097 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcsrtombs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,097 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstod.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,098 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoimax.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,098 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstol.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,099 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstold.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,099 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,099 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoll_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,099 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstombs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,100 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoul.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,100 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoull.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,100 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoull_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,100 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoumax.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,101 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wctob.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,101 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wctomb.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,101 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdlib/wctomb_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,101 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,101 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,102 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/asprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,102 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/atod_engine.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,102 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/atod_ryu.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,102 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/atof_engine.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,102 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/atof_ryu.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,103 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/atold_engine.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,103 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/bufio.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,103 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/clearerr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,103 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/compare_exchange.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,104 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/conv_flt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,104 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,104 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_engine.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,104 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_engine.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,105 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_ryu.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,105 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,105 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvt_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,105 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvtf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,105 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvtf_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,106 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/exchange.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,106 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fclose.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,106 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,106 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvt_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,106 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvtf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,107 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvtf_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,107 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fdevopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,107 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fdopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,107 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/feof.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,108 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ferror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,108 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fflush.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,108 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fgetc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,108 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fgets.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,108 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fileno.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,109 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrget.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,109 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrput.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,109 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrputalloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,109 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fmemopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,110 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,110 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,110 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fputc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,110 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fputs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,110 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fread.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,111 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/freopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,111 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,111 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fseek.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,111 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fseeko.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,112 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ftell.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,112 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ftello.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,112 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,112 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_engine.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,112 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_engine.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,113 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_ryu.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,113 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/fwrite.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,113 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/gcvt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,113 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/gcvtf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,114 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/getchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,114 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/gets.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,114 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/make-dtoa-data -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,114 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/matchcaseprefix.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,114 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,115 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/mktemp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,115 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/perror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,115 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/posixiob.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,115 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/printf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,116 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/putchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,116 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/puts.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,116 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/remove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,116 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/rewind.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,116 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_divpow2.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,117 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_log10.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,117 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_log2pow5.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,117 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_pow5bits.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,117 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_table.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,118 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_umul128.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,118 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/scanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,118 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/scanf_private.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,118 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/setbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,118 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/setbuffer.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,119 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/setlinebuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,119 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/setvbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,119 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/sflags.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,119 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,120 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintfd.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,120 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintff.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,120 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,120 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintfd.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,120 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintff.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,121 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/sscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,121 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio-bufio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,121 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,121 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio_private.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,122 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strfromd.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,122 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strfromf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,122 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtod.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,122 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtod_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,122 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtof.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,123 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtof_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,123 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoi.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,123 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoimax.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,123 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtol.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,124 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtol_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,124 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtold.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,124 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtold_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,124 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,125 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoll_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,125 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoul.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,125 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoul_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,125 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoull.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,125 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoull_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,126 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoumax.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,126 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/tmpfile.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,126 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/tmpnam.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,126 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ultoa_invert.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,126 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ungetc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,127 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vasprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,127 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vfiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,127 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vfiscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,127 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vfprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,128 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vfprintff.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,128 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vfscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,128 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vfscanff.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,128 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,129 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,129 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vsnprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,129 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vsprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,129 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/vsscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,129 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/xtoa_fast.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,130 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,130 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,130 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/asctime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,130 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/asctime_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,130 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/clock.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,131 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/ctime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,131 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/ctime_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,131 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/difftime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,131 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/gettzinfo.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,131 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/gmtime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,132 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/gmtime_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,132 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/lcltime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,132 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/lcltime_buf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,132 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/lcltime_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,133 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,133 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,133 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/mktime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,133 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/month_lengths.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,133 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/strftime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,134 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/strptime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,134 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/time.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,134 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/time.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,135 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/tzcalc_limits.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,135 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/tzset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,135 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/tzvars.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,135 root INFO copying pythondata_software_picolibc/data/newlib/libc/time/wcsftime.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,135 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,136 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,136 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/_ansi.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,136 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/_newlib_version.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,136 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/_syslist.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,136 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/alloca.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,137 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ar.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,137 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/argz.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,137 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/assert.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,137 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/byteswap.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,138 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/complex.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,138 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/cpio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,138 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ctype.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,138 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/devctl.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,138 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/dirent.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,139 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/elf.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,139 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/endian.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,139 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/envlock.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,139 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/envz.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,140 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/errno.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,140 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/fastmath.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,140 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/fcntl.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,140 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,141 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/fnmatch.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,141 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ftw.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,141 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/getopt.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,141 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/glob.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,141 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/grp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,142 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/iconv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,142 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ieeefp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,142 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/inttypes.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,142 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/langinfo.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,143 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/libgen.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,143 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/limits.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,143 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/locale.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,143 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/malloc.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,143 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/math.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,144 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/memory.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,144 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,144 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ndbm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,144 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/newlib.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,145 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/paths.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,145 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/picotls.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,145 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/pwd.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,145 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/regdef.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,146 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/regex.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,146 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sched.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,146 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/search.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,146 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/setjmp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,146 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/signal.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,147 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/spawn.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,147 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/stdint.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,147 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/stdlib.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,147 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/string.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,148 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/strings.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,148 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/tar.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,148 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/termios.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,148 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/threads.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,149 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/time.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,149 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/unctrl.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,149 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/unistd.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,149 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/utime.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,149 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/utmp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,150 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/wchar.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,150 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/wctype.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,150 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/wordexp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,150 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,151 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/fdopen64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,151 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/fgetpos64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,151 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/fopen64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,151 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/freopen64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,151 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/fseeko64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,152 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/fsetpos64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,152 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/ftello64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,152 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,152 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/stdio64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,153 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/stdio64.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,153 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio64/tmpfile64.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,153 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,153 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,153 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_add.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,154 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_add_sep.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,154 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_append.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,154 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_count.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,154 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_create.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,154 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_create_sep.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,155 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_delete.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,155 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_extract.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,155 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_insert.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,155 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_next.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,155 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_replace.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,156 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/argz_stringify.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,156 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/buf_findstr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,156 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/buf_findstr.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,156 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/envz_add.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,157 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/envz_entry.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,157 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/envz_get.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,157 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/envz_merge.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,157 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/envz_remove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,157 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/envz_strip.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,158 root INFO copying pythondata_software_picolibc/data/newlib/libc/argz/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,158 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,158 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,158 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/basename.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,158 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/cclass.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,159 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/cname.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,159 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/collate.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,159 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/collate.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,159 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/collcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,160 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/dirname.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,160 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/engine.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,160 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/fnmatch.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,160 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/ftw.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,161 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,161 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/namespace.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,161 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/nftw.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,161 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/regcomp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,161 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/regerror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,162 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/regex2.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,162 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/regexec.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,162 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/regfree.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,162 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/rune.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,163 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/runetype.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,163 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/sysexits.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,163 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/un-namespace.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,163 root INFO copying pythondata_software_picolibc/data/newlib/libc/posix/utils.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,163 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,164 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,164 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/bsd_qsort_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,164 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/bsearch.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,164 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/db_local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,164 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/extern.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,165 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hash.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,165 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hash.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,165 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hash_bigkey.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,165 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hash_buf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,166 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hash_func.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,166 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hash_log2.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,166 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hash_page.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,166 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hcreate.3 -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,167 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hcreate.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,167 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/hcreate_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,167 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,167 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/ndbm.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,168 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/page.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,168 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/qsort.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,168 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/qsort_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,168 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/tdelete.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,168 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/tdestroy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,169 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/tfind.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,169 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/tsearch.3 -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,169 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/tsearch.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,169 root INFO copying pythondata_software_picolibc/data/newlib/libc/search/twalk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,170 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/iconv 2024-01-19 02:41:22,170 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv 2024-01-19 02:41:22,170 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/encoding.aliases -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv 2024-01-19 02:41:22,170 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/iconv.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv 2024-01-19 02:41:22,171 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv 2024-01-19 02:41:22,171 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,171 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,171 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/caseconv.t -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,171 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/categories.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,172 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/categories.cat -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,172 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/categories.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,172 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/categories.t -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,172 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/ctype.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,173 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/ctype_.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,173 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/ctype_.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,173 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/ctype_cp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,173 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/ctype_iso.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,173 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isalnum.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,174 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isalnum_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,174 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isalpha.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,174 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isalpha_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,174 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isascii.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,175 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isascii_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,175 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isblank.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,175 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isblank_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,175 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iscntrl.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,175 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iscntrl_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,176 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isdigit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,176 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isdigit_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,176 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/islower.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,176 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/islower_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,176 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isprint.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,177 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isprint_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,177 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/ispunct.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,177 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/ispunct_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,177 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isspace.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,178 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isspace_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,178 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isupper.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,178 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isupper_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,178 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswalnum.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,178 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswalnum_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,179 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswalpha.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,179 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswalpha_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,179 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswblank.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,179 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswblank_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,180 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswcntrl.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,180 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswcntrl_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,180 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswctype.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,180 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswctype_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,180 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswdigit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,181 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswdigit_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,181 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswgraph.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,181 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswgraph_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,181 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswlower.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,182 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswlower_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,182 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswprint.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,182 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswprint_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,182 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswpunct.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,182 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswpunct_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,183 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswspace.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,183 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswspace_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,183 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswupper.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,183 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswupper_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,183 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswxdigit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,184 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/iswxdigit_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,184 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isxdigit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,184 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/isxdigit_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,184 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/jp2uc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,185 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/jp2uc.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,185 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,185 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,185 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/mkcaseconv -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,186 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/mkcategories -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,186 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/mkunidata -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,186 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/toascii.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,186 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/toascii_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,187 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/tolower.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,187 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/tolower_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,187 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/toupper.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,187 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/toupper_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,187 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/towctrans.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,188 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/towctrans_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,188 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/towlower.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,188 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/towlower_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,188 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/towupper.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,189 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/towupper_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,189 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/wctrans.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,189 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/wctrans_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,189 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/wctype.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,189 root INFO copying pythondata_software_picolibc/data/newlib/libc/ctype/wctype_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,190 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,190 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,190 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/chk_fail.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,190 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/gets_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,190 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/memcpy_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,191 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/memmove_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,191 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/mempcpy_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,191 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/memset_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,191 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,192 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/snprintf_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,192 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/sprintf_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,192 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/ssp.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,192 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/stack_protector.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,192 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/stpcpy_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,193 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/stpncpy_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,193 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/strcat_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,193 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/strcpy_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,193 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/strncat_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,193 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/strncpy_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,194 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/vsnprintf_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,194 root INFO copying pythondata_software_picolibc/data/newlib/libc/ssp/vsprintf_chk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,194 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine 2024-01-19 02:41:22,194 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine 2024-01-19 02:41:22,194 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,195 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,195 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/WIDTH-A -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,195 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/ambiguous.t -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,195 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/bcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,196 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/bcopy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,196 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/bzero.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,196 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/combining.t -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,196 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/explicit_bzero.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,196 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/ffsl.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,197 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/ffsll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,197 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/fls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,197 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/flsl.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,197 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/flsll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,198 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/gnu_basename.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,198 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/index.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,198 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,198 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memccpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,198 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,199 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,199 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,199 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memmem.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,199 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memmove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,199 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/mempcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,200 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memrchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,200 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/memset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,200 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,200 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/mkunidata -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,201 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/mkwide -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,201 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/mkwidthA -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,201 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/rawmemchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,201 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/rindex.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,201 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/stpcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,202 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/stpncpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,202 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/str-two-way.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,202 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcasecmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,202 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcasecmp_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,203 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcasestr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,203 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,203 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,203 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strchrnul.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,204 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,204 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcoll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,204 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcoll_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,204 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,204 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strcspn.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,205 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strdup.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,205 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strdup_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,205 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strerror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,205 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strerror_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,206 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strings.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,206 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strlcat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,206 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strlcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,206 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,206 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strlwr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,207 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strncasecmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,207 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strncasecmp_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,207 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strncat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,207 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strncmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,208 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strncpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,208 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strndup.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,208 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strndup_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,208 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strnlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,208 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strnstr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,209 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strpbrk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,209 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strrchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,209 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strsep.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,209 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strsignal.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,210 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strspn.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,210 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strstr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,210 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strtok.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,210 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strtok_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,210 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strupr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,211 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strverscmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,211 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strxfrm.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,211 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/strxfrm_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,211 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/swab.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,212 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/timingsafe_bcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,212 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/timingsafe_memcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,212 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/u_strerr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,212 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/uniset -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,213 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcpcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,213 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcpncpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,213 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscasecmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,213 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscasecmp_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,213 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,214 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcschr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,214 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,214 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscoll.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,214 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscoll_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,215 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,215 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcscspn.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,215 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsdup.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,215 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcslcat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,215 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcslcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,216 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcslen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,216 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsncasecmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,216 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsncasecmp_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,216 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsncat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,217 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsncmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,217 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsncpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,217 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsnlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,217 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcspbrk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,217 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsrchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,218 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsspn.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,218 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsstr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,218 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcstok.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,218 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcstrings.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,219 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcswidth.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,219 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsxfrm.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,219 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcsxfrm_l.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,219 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wcwidth.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,220 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wide.t -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,220 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wmemchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,220 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wmemcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,220 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wmemcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,220 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wmemmove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,221 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wmempcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,221 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/wmemset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,221 root INFO copying pythondata_software_picolibc/data/newlib/libc/string/xpg_strerror_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:22,221 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/reent 2024-01-19 02:41:22,222 root INFO copying pythondata_software_picolibc/data/newlib/libc/reent/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/reent 2024-01-19 02:41:22,222 root INFO copying pythondata_software_picolibc/data/newlib/libc/reent/reent.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/reent 2024-01-19 02:41:22,222 root INFO copying pythondata_software_picolibc/data/newlib/libc/reent/reent.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/reent 2024-01-19 02:41:22,222 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 02:41:22,222 root INFO copying pythondata_software_picolibc/data/newlib/libc/signal/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 02:41:22,223 root INFO copying pythondata_software_picolibc/data/newlib/libc/signal/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 02:41:22,223 root INFO copying pythondata_software_picolibc/data/newlib/libc/signal/psignal.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 02:41:22,223 root INFO copying pythondata_software_picolibc/data/newlib/libc/signal/raise.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 02:41:22,223 root INFO copying pythondata_software_picolibc/data/newlib/libc/signal/sig2str.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 02:41:22,224 root INFO copying pythondata_software_picolibc/data/newlib/libc/signal/signal.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 02:41:22,224 root INFO copying pythondata_software_picolibc/data/newlib/libc/signal/signal.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 02:41:22,224 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/errno 2024-01-19 02:41:22,224 root INFO copying pythondata_software_picolibc/data/newlib/libc/errno/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/errno 2024-01-19 02:41:22,225 root INFO copying pythondata_software_picolibc/data/newlib/libc/errno/errno.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/errno 2024-01-19 02:41:22,225 root INFO copying pythondata_software_picolibc/data/newlib/libc/errno/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/errno 2024-01-19 02:41:22,225 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 02:41:22,225 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 02:41:22,225 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/__dprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 02:41:22,226 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/ffs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 02:41:22,226 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/fini.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 02:41:22,226 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/init.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 02:41:22,226 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/lock.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 02:41:22,227 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 02:41:22,227 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/misc.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 02:41:22,227 root INFO copying pythondata_software_picolibc/data/newlib/libc/misc/unctrl.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 02:41:22,227 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,227 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/asiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,228 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/asniprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,228 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/asnprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,228 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/asprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,228 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/clearerr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,228 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/clearerr_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,229 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/diprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,229 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/dprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,229 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fclose.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,229 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fcloseall.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,229 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fdopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,230 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/feof.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,230 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/feof_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,230 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/ferror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,230 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/ferror_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,231 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fflush.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,231 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fflush_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,231 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgetc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,231 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgetc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,232 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgetpos.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,232 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgets.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,232 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgets_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,232 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgetwc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,232 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgetwc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,233 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgetws.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,233 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fgetws_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,233 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fileno.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,233 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fileno_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,233 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/findfp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,234 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,234 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fiscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,234 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/flags.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,234 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/floatio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,235 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fmemopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,235 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,235 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fopencookie.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,235 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,236 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fpurge.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,236 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,236 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,236 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,236 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputs_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,237 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputwc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,237 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputwc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,237 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputws.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,237 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fputws_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,238 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fread.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,238 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fread_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,238 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/freopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,238 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,238 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fseek.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,239 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fseeko.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,239 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fsetlocking.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,239 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fsetpos.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,239 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/ftell.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,240 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/ftello.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,240 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/funopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,240 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fvwrite.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,240 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fvwrite.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,241 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fwalk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,241 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fwide.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,241 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fwprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,241 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fwrite.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,242 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fwrite_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,242 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/fwscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,242 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,242 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,242 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,243 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getchar_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,243 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getdelim.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,243 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getline.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,243 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/gets.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,244 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getw.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,244 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getwc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,244 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getwc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,244 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getwchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,244 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/getwchar_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,245 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/iprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,245 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/iscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,245 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,245 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/makebuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,246 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,246 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/mktemp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,246 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-svfprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,246 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-svfscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,246 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,247 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_float.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,247 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_i.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,247 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,248 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,248 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_float.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,248 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_i.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,248 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,248 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/open_memstream.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,249 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/perror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,249 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/printf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,249 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,249 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,250 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,250 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putchar_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,250 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/puts.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,250 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putw.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,251 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putwc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,251 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putwc_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,251 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putwchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,251 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/putwchar_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,251 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/refill.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,252 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/remove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,252 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/rename.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,252 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/rewind.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,252 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/rget.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,253 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/scanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,253 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/sccl.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,253 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/setbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,253 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/setbuffer.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,253 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/setlinebuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,254 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/setvbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,254 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/siprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,254 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/siscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,254 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/sniprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,255 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/snprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,255 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/sprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,255 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/sscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,255 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/stdio.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,256 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/stdio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,256 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/stdio.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,256 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/stdio_ext.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,256 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/stdio_ext.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,257 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,257 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfiscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,257 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfiwprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,257 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfiwscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,258 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,258 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,258 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfwprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,258 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/svfwscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,258 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/swprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,259 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/swscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,259 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/tmpfile.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,259 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/tmpnam.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,259 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/ungetc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,260 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/ungetwc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,260 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vasiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,260 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vasniprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,260 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vasnprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,261 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vasprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,261 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vdiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,261 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vdprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,261 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfieeefp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,261 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,262 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfiscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,262 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfiwprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,262 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfiwscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,262 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,263 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,263 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfwprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,263 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vfwscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,263 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/viprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,264 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/viscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,264 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,264 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,264 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vsiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,265 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vsiscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,265 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vsniprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,265 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vsnprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,265 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vsprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,266 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vsscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,266 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vswprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,266 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vswscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,266 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vwprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,266 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/vwscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,267 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/wbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,267 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/wprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,267 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/wscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,267 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/wsetup.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:22,268 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:22,268 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:22,268 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/README -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:22,268 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:22,268 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:22,269 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_array.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:22,269 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_float.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:22,269 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_float_vax.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:22,269 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_mem.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:22,270 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_private.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:22,270 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_private.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:22,270 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_rec.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:22,270 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_reference.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:22,271 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_sizeof.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:22,271 root INFO copying pythondata_software_picolibc/data/newlib/libc/xdr/xdr_stdio.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:22,271 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:22,271 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:22,271 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/duplocale.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:22,272 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/freelocale.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:22,272 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/lctype.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:22,272 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/lmessages.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:22,272 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/lmonetary.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:22,273 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/lnumeric.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:22,273 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/locale.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:22,273 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/locale.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:22,273 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/localeconv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:22,274 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:22,274 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/newlocale.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:22,274 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/nl_langinfo.3 -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:22,274 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/nl_langinfo.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:22,275 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/setlocale.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:22,275 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/timelocal.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:22,275 root INFO copying pythondata_software_picolibc/data/newlib/libc/locale/uselocale.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:22,275 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 02:41:22,275 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 02:41:22,276 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/dso_handle.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 02:41:22,276 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/getauxval.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 02:41:22,276 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/inittls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 02:41:22,276 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 02:41:22,276 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/picosbrk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 02:41:22,277 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 02:41:22,277 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/common.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 02:41:22,277 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/d2s_intrinsics.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 02:41:22,277 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/digit_table.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 02:41:22,278 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/f2s_intrinsics.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 02:41:22,278 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/ryu.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 02:41:22,278 root INFO copying pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/ryu_parse.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 02:41:22,278 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 02:41:22,278 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 02:41:22,279 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 02:41:22,279 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/ssp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 02:41:22,279 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/stdio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 02:41:22,279 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/stdlib.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 02:41:22,279 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/string.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 02:41:22,280 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/strings.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 02:41:22,280 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/unistd.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 02:41:22,280 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/ssp/wchar.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 02:41:22,280 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,280 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,281 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/_arc4random.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,281 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/_default_types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,281 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/_endian.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,281 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/_time.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,282 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/_types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,282 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/ansi.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,282 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/endian.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,282 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/fastmath.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,282 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/ieee.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,283 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/ieeefp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,283 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/malloc.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,283 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/math.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,283 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,284 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/param.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,284 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/setjmp-dj.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,284 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/setjmp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,284 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/stdlib.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,284 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/termios.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,285 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/time.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,285 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/machine/types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,285 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-01-19 02:41:22,285 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/rpc/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-01-19 02:41:22,286 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/rpc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-01-19 02:41:22,286 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/rpc/types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-01-19 02:41:22,286 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/rpc/xdr.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-01-19 02:41:22,286 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-01-19 02:41:22,286 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/arpa/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-01-19 02:41:22,287 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/arpa/inet.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-01-19 02:41:22,287 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/arpa/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-01-19 02:41:22,287 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,287 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,287 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_default_fcntl.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,288 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_intsup.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,288 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_locale.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,288 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_sigset.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,288 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_stdint.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,288 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_timespec.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,289 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_timeval.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,289 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,289 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/_tz_structs.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,289 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/auxv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,290 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/cdefs.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,290 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/config.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,290 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/custom_file.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,290 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/dir.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,291 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/dirent.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,291 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/errno.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,291 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/fcntl.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,291 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/features.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,291 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,292 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/file.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,292 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/iconvnls.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,292 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/lock.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,292 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,293 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/param.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,293 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/queue.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,293 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/resource.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,293 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/sched.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,293 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/select.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,294 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/signal.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,294 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/stat.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,294 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/string.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,294 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/syslimits.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,295 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/time.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,295 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/timeb.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,295 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/times.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,295 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/timespec.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,296 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/tree.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,296 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,296 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/unistd.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,296 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/utime.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,297 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/sys/wait.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,297 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include/bits 2024-01-19 02:41:22,297 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/include/bits/types 2024-01-19 02:41:22,297 root INFO copying pythondata_software_picolibc/data/newlib/libc/include/bits/types/mbstate_t.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/include/bits/types 2024-01-19 02:41:22,297 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,297 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,298 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesbi.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,298 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesbi.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,298 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesdeps.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,298 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/euc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,299 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,299 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/mkdeps.pl -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,299 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/table-pcs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,299 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/table.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,300 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-2-internal.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,300 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-2.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,300 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-4-internal.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,300 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-4.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,301 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/us-ascii.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,301 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/utf-16.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,301 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ces/utf-8.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,301 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,301 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,302 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/big5.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,303 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccs.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,303 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsbi.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,303 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsbi.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,304 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsnames.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,304 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane1.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,305 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane14.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,306 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane2.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,307 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp775.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,308 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp850.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,308 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp852.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,308 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp855.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,308 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp866.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,309 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_1.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,309 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_10.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,309 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_11.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,309 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_13.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,310 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_14.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,310 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_15.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,310 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_2.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,310 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_3.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,311 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_4.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,311 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_5.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,311 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_6.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,311 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_7.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,312 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_8.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,312 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_9.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,312 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_ir_111.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,312 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0201_1976.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,313 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0208_1990.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,314 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0212_1990.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,315 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_r.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,316 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_ru.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,316 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_u.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,316 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_uni.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,316 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ksx1001.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,318 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,318 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/mktbl.pl -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,318 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1250.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,318 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1251.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,319 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1252.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,319 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1253.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,319 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1254.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,319 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1255.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,320 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1256.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,320 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1257.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,320 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1258.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,320 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,321 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,321 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/aliasesbi.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,321 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/aliasesi.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,321 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/conv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,321 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/encnames.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,322 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/encoding.deps -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,322 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/endian.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,322 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,322 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconvnls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,323 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconvnls.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,323 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/local.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,323 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,323 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/nullconv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,324 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/ucsconv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,324 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/lib/ucsconv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,324 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,324 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,324 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/big5.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,325 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane1.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,326 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane14.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,326 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane2.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,327 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp775.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,327 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp850.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,328 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp852.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,328 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp855.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,328 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp866.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,328 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_1.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,328 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_10.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,329 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_11.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,329 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_13.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,329 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_14.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,329 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_15.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,330 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_2.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,330 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_3.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,330 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_4.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,330 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_5.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,330 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_6.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,331 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_7.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,331 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_8.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,331 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_9.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,331 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_ir_111.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,332 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0201_1976.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,332 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0208_1990.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,332 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0212_1990.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,333 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_r.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,333 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_ru.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,333 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_u.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,334 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_uni.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,334 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/ksx1001.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,335 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,335 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1250.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,335 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1251.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,335 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1252.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,336 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1253.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,336 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1254.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,336 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1255.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,336 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1256.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,337 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1257.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,337 root INFO copying pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1258.cct -> build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,337 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/pru 2024-01-19 02:41:22,337 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/pru/setjmp.s -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/pru 2024-01-19 02:41:22,337 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 02:41:22,337 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/libcdtor.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 02:41:22,338 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/memcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 02:41:22,338 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/memmove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 02:41:22,338 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/memset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 02:41:22,338 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/setjmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 02:41:22,339 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-01-19 02:41:22,339 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nios2/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-01-19 02:41:22,339 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nios2/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-01-19 02:41:22,339 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nios2/setjmp.s -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-01-19 02:41:22,339 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-01-19 02:41:22,340 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/necv70/fastmath.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-01-19 02:41:22,340 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/necv70/necv70.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-01-19 02:41:22,340 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/necv70/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-01-19 02:41:22,340 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/bfin 2024-01-19 02:41:22,340 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/bfin/longjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/bfin 2024-01-19 02:41:22,341 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/bfin/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/bfin 2024-01-19 02:41:22,341 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 02:41:22,341 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/microblaze/abort.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 02:41:22,341 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/microblaze/longjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 02:41:22,341 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/microblaze/mb_endian.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 02:41:22,342 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/microblaze/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 02:41:22,342 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 02:41:22,342 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 02:41:22,342 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 02:41:22,343 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/msp430 2024-01-19 02:41:22,343 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/msp430/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/msp430 2024-01-19 02:41:22,343 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/msp430/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/msp430 2024-01-19 02:41:22,343 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 02:41:22,343 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/cmpsi.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 02:41:22,343 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/divsi3.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 02:41:22,344 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/lshrhi.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 02:41:22,344 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/mulsi3.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 02:41:22,344 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/sdivhi3.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 02:41:22,344 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/smulhi3.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 02:41:22,345 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/udivhi3.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 02:41:22,345 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/w65/umodhi3.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 02:41:22,345 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,345 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,345 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,346 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,346 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,346 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,346 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,347 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,347 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,347 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strncpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,347 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/xtensa-asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,348 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/xtensa.tex -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,348 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic80 2024-01-19 02:41:22,348 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/tic80/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic80 2024-01-19 02:41:22,348 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 02:41:22,349 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/ft32/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 02:41:22,349 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/ft32/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 02:41:22,349 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/ft32/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 02:41:22,349 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/ft32/stpcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 02:41:22,350 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/ft32/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 02:41:22,350 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/ft32/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 02:41:22,350 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/ft32/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 02:41:22,350 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/d10v 2024-01-19 02:41:22,350 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/d10v/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/d10v 2024-01-19 02:41:22,351 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 02:41:22,351 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/visium/memcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 02:41:22,351 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/visium/memcpy.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 02:41:22,351 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/visium/memmove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 02:41:22,351 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/visium/memset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 02:41:22,352 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/visium/memset.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 02:41:22,352 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/visium/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 02:41:22,352 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mep 2024-01-19 02:41:22,352 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mep/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mep 2024-01-19 02:41:22,352 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 02:41:22,353 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nds32/abort.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 02:41:22,353 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nds32/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 02:41:22,353 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nds32/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 02:41:22,353 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nds32/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 02:41:22,353 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nds32/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 02:41:22,354 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nds32/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 02:41:22,354 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rl78 2024-01-19 02:41:22,354 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rl78/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rl78 2024-01-19 02:41:22,354 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,354 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,355 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memchr-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,355 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,355 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcmp-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,355 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,355 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcpy-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,356 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,356 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memmove-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,356 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memmove.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,356 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memset-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,357 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,357 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,357 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/rawmemchr-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,357 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/rawmemchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,358 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,358 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/stpcpy-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,358 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/stpcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,358 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchr-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,358 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,359 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchrnul-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,359 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchrnul.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,359 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcmp-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,359 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,360 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcpy-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,360 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,360 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strlen-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,360 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,361 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strncmp-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,361 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strncmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,361 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strnlen-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,361 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strnlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,361 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strrchr-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,362 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strrchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,362 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 02:41:22,362 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/z8k/args.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 02:41:22,362 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/z8k/memcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 02:41:22,362 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/z8k/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 02:41:22,363 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/z8k/memmove.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 02:41:22,363 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/z8k/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 02:41:22,363 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/z8k/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 02:41:22,363 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,364 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memccpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,364 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memccpy_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,364 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,364 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memchr_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,364 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,365 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memcmp_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,365 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,365 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memcpy_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,365 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,366 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,366 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,366 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strchr_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,366 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,367 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strcmp_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,367 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,367 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strcpy_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,367 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strcspn.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,367 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strdup.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,368 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,368 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strlen_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,368 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strncat.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,368 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strncat_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,369 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strncmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,369 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strncmp_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,369 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strncpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,369 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strncpy_ca.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,370 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strpbrk.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,370 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/i960/strrchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,370 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,370 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,370 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,371 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp-bs-norm.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,371 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,371 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,371 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-archs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,372 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-bs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,372 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,372 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,372 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-archs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,372 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-bs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,373 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,373 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,373 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,373 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,373 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-bs-norm.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,374 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-bs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,374 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,374 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,374 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp-archs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,375 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,375 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,375 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-bs-arc600.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,375 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-bs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,375 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,376 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,376 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-bs-norm.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,376 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-bs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,376 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,377 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,377 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy-bs.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,377 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,377 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,377 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,378 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/assert.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,378 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/c99ppe.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,378 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/calloc_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,378 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/clearerr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,378 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/creat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,379 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/ea_internal.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,379 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fclose.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,379 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fdopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,379 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/feof.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,380 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/ferror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,380 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fflush.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,380 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fgetc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,380 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fgetpos.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,380 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fgets.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,381 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fileno.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,381 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fiprintf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,381 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fiscanf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,381 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,382 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fprintf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,382 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fputc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,382 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fputs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,382 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fread.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,382 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/free_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,383 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/freopen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,383 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fscanf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,383 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fseek.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,383 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fsetpos.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,383 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/ftell.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,384 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/fwrite.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,384 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/getc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,384 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/getchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,384 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/gets.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,385 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/iprintf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,385 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/iscanf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,385 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/malloc_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,385 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memchr_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,385 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,386 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memcmp_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,386 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,386 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memcpy_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,386 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memmove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,387 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memmove_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,387 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,387 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/memset_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,387 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/mk_syscalls -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,387 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/mmap_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,388 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/mremap_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,388 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/msync_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,388 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/munmap_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,388 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/perror.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,389 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/posix_memalign_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,389 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/pread_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,389 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/printf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,389 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/putc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,389 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/putchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,390 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/puts.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,390 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/pwrite_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,390 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/read_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,390 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/readv_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,391 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/realloc_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,391 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/remove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,391 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/rename.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,391 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/rewind.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,391 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/scanf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,392 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/setbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,392 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,392 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/setvbuf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,392 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/siprintf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,393 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/siscanf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,393 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sleep.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,393 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sniprintf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,393 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/snprintf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,393 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sprintf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,394 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu-gmon.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,394 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu-mcount.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,394 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_clock_stop.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,394 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_clock_svcs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,395 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timebase.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,395 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_flih.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,395 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_free.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,395 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_internal.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,395 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_slih.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,396 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_slih_reg.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,396 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_stop.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,396 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_svcs.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,396 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sscanf.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,397 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/stack_reg_va.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,397 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/stdio.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,397 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/straddr.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,397 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,398 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcat_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,398 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,398 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strchr_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,398 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,398 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcmp_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,399 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,399 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,399 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,399 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcspn.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,400 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strcspn_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,400 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,400 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strlen_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,400 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strncat.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,400 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strncat_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,401 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,401 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,401 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,401 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strncpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,402 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strncpy_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,402 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strpbrk.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,402 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strpbrk_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,402 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strrchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,402 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strrchr_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,403 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strspn.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,403 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strspn_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,403 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strstr_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,403 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/strxfrm.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,404 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/syscall.def -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,404 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/tmpfile.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,404 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/tmpnam.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,404 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/ungetc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,405 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/usleep.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,405 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vec_literal.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,405 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vfiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,405 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vfiscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,405 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vfprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,406 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vfscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,406 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/viprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,406 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/viscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,406 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,407 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,407 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vsiprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,407 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vsiscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,407 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vsniprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,407 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vsnprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,408 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vsprintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,408 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/vsscanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,408 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/write_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,408 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/writev_ea.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,408 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,409 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,409 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/acle-compat.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,409 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/aeabi_memcpy-armv7a.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,409 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/aeabi_memset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,410 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/arm_asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,410 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/bzero.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,410 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,410 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,411 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy-armv7a.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,411 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy-armv7m.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,411 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,411 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,411 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memmove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,412 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-arm.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,412 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-thumb.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,412 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-thumb2.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,412 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,413 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/memset.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,413 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,413 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,413 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-arm-tiny.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,413 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv4.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,414 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv4t.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,414 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv6.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,414 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv6m.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,414 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv7.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,415 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv7m.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,415 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,415 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,415 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-armv7.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,416 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-thumb1-Os.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,416 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-thumb2-Os.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,416 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,416 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:22,416 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16 2024-01-19 02:41:22,417 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cr16/getenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16 2024-01-19 02:41:22,417 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cr16/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16 2024-01-19 02:41:22,417 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m88k 2024-01-19 02:41:22,417 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m88k/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m88k 2024-01-19 02:41:22,417 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/or1k 2024-01-19 02:41:22,418 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/or1k/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/or1k 2024-01-19 02:41:22,418 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mt 2024-01-19 02:41:22,418 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mt/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mt 2024-01-19 02:41:22,418 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m32r 2024-01-19 02:41:22,418 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m32r/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m32r 2024-01-19 02:41:22,418 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/lm32 2024-01-19 02:41:22,419 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/lm32/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/lm32 2024-01-19 02:41:22,419 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/fr30 2024-01-19 02:41:22,419 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/fr30/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/fr30 2024-01-19 02:41:22,419 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/iq2000 2024-01-19 02:41:22,419 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/iq2000/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/iq2000 2024-01-19 02:41:22,419 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:22,420 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/calloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:22,420 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/callocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:22,420 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/cfree.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:22,420 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/freer.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:22,420 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/malign.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:22,421 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/malloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:22,421 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/mallocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:22,421 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/msize.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:22,421 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/mstats.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:22,422 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/realloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:22,422 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/reallocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:22,422 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:22,422 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/tiny-malloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:22,422 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/valloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:22,423 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,423 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,423 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/i386mach.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,423 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,423 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,424 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,424 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,424 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,424 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,425 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,425 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy-64.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,425 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,425 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,425 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,426 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,426 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memset-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,426 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memset-64.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,426 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,426 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,427 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,427 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp-64.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,427 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,427 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,428 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,428 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,428 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen-32.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,428 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,428 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,429 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/x86_64mach.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:22,429 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/epiphany 2024-01-19 02:41:22,429 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/epiphany/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/epiphany 2024-01-19 02:41:22,429 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10200 2024-01-19 02:41:22,429 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10200/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10200 2024-01-19 02:41:22,430 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/d30v 2024-01-19 02:41:22,430 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/d30v/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/d30v 2024-01-19 02:41:22,430 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:22,430 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/memchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:22,430 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:22,431 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/memmove.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:22,431 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/mempcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:22,431 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:22,431 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:22,431 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/strcat.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:22,432 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:22,432 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:22,432 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:22,432 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/strncat.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:22,432 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/strncmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:22,433 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/rx/strncpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:22,433 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m32c 2024-01-19 02:41:22,433 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m32c/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m32c 2024-01-19 02:41:22,433 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic4x 2024-01-19 02:41:22,433 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/tic4x/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic4x 2024-01-19 02:41:22,434 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic6x 2024-01-19 02:41:22,434 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/tic6x/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic6x 2024-01-19 02:41:22,434 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 02:41:22,434 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/cmpsi.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 02:41:22,434 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/divhi3.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 02:41:22,435 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/divsi3.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 02:41:22,435 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/mulhi3.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 02:41:22,435 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/mulsi3.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 02:41:22,435 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/negsi2.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 02:41:22,435 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/psi.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 02:41:22,436 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 02:41:22,436 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8500/shifts.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 02:41:22,436 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 02:41:22,436 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 02:41:22,436 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 02:41:22,437 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 02:41:22,437 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 02:41:22,437 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 02:41:22,437 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 02:41:22,438 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 02:41:22,438 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/strncpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 02:41:22,438 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68hc11 2024-01-19 02:41:22,438 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68hc11/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68hc11 2024-01-19 02:41:22,438 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc 2024-01-19 02:41:22,439 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/powerpc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc 2024-01-19 02:41:22,439 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/powerpc/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc 2024-01-19 02:41:22,439 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-01-19 02:41:22,439 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xc16x/putchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-01-19 02:41:22,439 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xc16x/puts.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-01-19 02:41:22,440 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xc16x/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-01-19 02:41:22,440 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/csky 2024-01-19 02:41:22,440 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/csky/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/csky 2024-01-19 02:41:22,440 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/csky/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/csky 2024-01-19 02:41:22,440 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 02:41:22,441 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/abort.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 02:41:22,441 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/atexit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 02:41:22,441 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/exit-value.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 02:41:22,441 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/exit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 02:41:22,441 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/getreent.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 02:41:22,442 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/mlock.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 02:41:22,442 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/signal.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 02:41:22,442 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 02:41:22,442 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68k/m68kasm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 02:41:22,442 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68k/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 02:41:22,443 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68k/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 02:41:22,443 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68k/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 02:41:22,443 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68k/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 02:41:22,443 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68k/strcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 02:41:22,443 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/m68k/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 02:41:22,444 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:22,444 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:22,444 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/ieeefp.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:22,444 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/memcpy-asm.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:22,444 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/memcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:22,445 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/memmove-stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:22,445 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/memmove.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:22,445 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:22,445 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:22,446 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:22,446 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:22,446 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/strcpy.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:22,446 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/strlen.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:22,446 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:22,447 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/DEFS.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:22,447 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/memchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:22,447 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/memcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:22,447 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:22,447 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:22,448 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/pcc_prefix.s -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:22,448 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:22,448 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcat.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:22,448 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:22,449 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:22,449 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:22,449 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncat.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:22,449 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:22,450 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:22,450 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 02:41:22,450 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 02:41:22,450 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 02:41:22,450 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 02:41:22,451 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 02:41:22,451 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 02:41:22,451 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 02:41:22,451 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 02:41:22,452 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sh/strncpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 02:41:22,452 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 02:41:22,452 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 02:41:22,452 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 02:41:22,452 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 02:41:22,453 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 02:41:22,453 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 02:41:22,453 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strchr.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 02:41:22,453 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 02:41:22,453 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 02:41:22,454 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strlen.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 02:41:22,454 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:22,454 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/abort.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:22,454 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/assert.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:22,454 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/calloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:22,455 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/callocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:22,455 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/clock.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:22,455 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/exit.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:22,455 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/free.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:22,455 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/malloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:22,456 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/mallocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:22,456 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/misc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:22,456 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/printf.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:22,456 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/putchar.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:22,457 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/puts.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:22,457 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/realloc.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:22,457 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/reallocr.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:22,457 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/nvptx/write.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:22,457 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/v850 2024-01-19 02:41:22,458 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/v850/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/v850 2024-01-19 02:41:22,458 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 02:41:22,458 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 02:41:22,458 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 02:41:22,458 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/scan.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 02:41:22,459 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 02:41:22,459 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/shuffle.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 02:41:22,459 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 02:41:22,459 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/defines.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 02:41:22,459 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/h8sx_strcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 02:41:22,460 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 02:41:22,460 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 02:41:22,460 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/reg_memcpy.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 02:41:22,460 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/reg_memset.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 02:41:22,460 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/setarch.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 02:41:22,461 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 02:41:22,461 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/h8300/strcmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 02:41:22,461 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/moxie 2024-01-19 02:41:22,461 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/moxie/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/moxie 2024-01-19 02:41:22,461 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx 2024-01-19 02:41:22,462 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/crx/getenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx 2024-01-19 02:41:22,462 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/crx/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx 2024-01-19 02:41:22,462 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/frv 2024-01-19 02:41:22,462 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/frv/setjmp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/frv 2024-01-19 02:41:22,462 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/include 2024-01-19 02:41:22,462 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/include/pthread.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/include 2024-01-19 02:41:22,463 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-01-19 02:41:22,463 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/errno.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-01-19 02:41:22,463 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/fcntl.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-01-19 02:41:22,463 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/signal.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-01-19 02:41:22,464 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70/machine 2024-01-19 02:41:22,464 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/necv70/machine/registers.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70/machine 2024-01-19 02:41:22,464 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-01-19 02:41:22,464 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-01-19 02:41:22,464 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/core-isa.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-01-19 02:41:22,465 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-01-19 02:41:22,465 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-01-19 02:41:22,465 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-01-19 02:41:22,465 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-01-19 02:41:22,465 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-01-19 02:41:22,466 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 02:41:22,466 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 02:41:22,466 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/_types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 02:41:22,466 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/fenv-fp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 02:41:22,466 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/math.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 02:41:22,467 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 02:41:22,467 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-01-19 02:41:22,467 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-01-19 02:41:22,467 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/fcntl.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-01-19 02:41:22,467 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-01-19 02:41:22,468 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-01-19 02:41:22,468 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-01-19 02:41:22,468 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/include/ea.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-01-19 02:41:22,468 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/include/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-01-19 02:41:22,468 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/include/spu_timer.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-01-19 02:41:22,469 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/machine 2024-01-19 02:41:22,469 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/machine/_types.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/machine 2024-01-19 02:41:22,469 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 02:41:22,469 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/custom_file.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 02:41:22,469 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/dirent.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 02:41:22,470 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/errno.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 02:41:22,470 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 02:41:22,470 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/linux_syscalls.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 02:41:22,470 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/mman.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 02:41:22,470 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/sched.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 02:41:22,471 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/syscall.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 02:41:22,471 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/uio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 02:41:22,471 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/utime.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 02:41:22,471 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 02:41:22,472 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 02:41:22,472 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/_endian.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 02:41:22,472 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/acle-compat.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 02:41:22,472 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/math.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 02:41:22,472 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 02:41:22,473 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/param.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 02:41:22,473 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-01-19 02:41:22,473 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-01-19 02:41:22,474 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-01-19 02:41:22,474 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-01-19 02:41:22,474 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-01-19 02:41:22,474 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-01-19 02:41:22,474 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/libh.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-01-19 02:41:22,475 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/syscall.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-01-19 02:41:22,475 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-01-19 02:41:22,475 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-01-19 02:41:22,475 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/fastmath.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-01-19 02:41:22,475 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-01-19 02:41:22,476 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-01-19 02:41:22,476 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-01-19 02:41:22,476 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-01-19 02:41:22,476 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-01-19 02:41:22,476 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 02:41:22,477 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 02:41:22,477 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 02:41:22,477 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/fenv-fp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 02:41:22,477 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/fenv-softfloat.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 02:41:22,477 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 02:41:22,478 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/regdef.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 02:41:22,478 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-01-19 02:41:22,478 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-01-19 02:41:22,478 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-01-19 02:41:22,479 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-01-19 02:41:22,479 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/machine 2024-01-19 02:41:22,479 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/powerpc/machine/fenv-fp.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/machine 2024-01-19 02:41:22,479 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/sys 2024-01-19 02:41:22,479 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/powerpc/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/sys 2024-01-19 02:41:22,479 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-01-19 02:41:22,480 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-01-19 02:41:22,480 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/math.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-01-19 02:41:22,480 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-01-19 02:41:22,480 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 02:41:22,480 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 02:41:22,481 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 02:41:22,481 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 02:41:22,481 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 02:41:22,481 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/string.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 02:41:22,481 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-01-19 02:41:22,482 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-01-19 02:41:22,482 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-01-19 02:41:22,482 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/sparclet.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-01-19 02:41:22,482 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-01-19 02:41:22,482 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-01-19 02:41:22,483 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-01-19 02:41:22,483 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-01-19 02:41:22,483 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-01-19 02:41:22,483 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/asm.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-01-19 02:41:22,483 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/libh.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-01-19 02:41:22,484 root INFO copying pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/syscall.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-01-19 02:41:22,484 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sys 2024-01-19 02:41:22,484 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/sys/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sys 2024-01-19 02:41:22,484 root INFO copying pythondata_software_picolibc/data/newlib/libc/stdio/sys/stdio.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sys 2024-01-19 02:41:22,485 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/sys 2024-01-19 02:41:22,485 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems 2024-01-19 02:41:22,485 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include 2024-01-19 02:41:22,485 root INFO copying pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/README -> build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include 2024-01-19 02:41:22,485 root INFO copying pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/poll.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include 2024-01-19 02:41:22,485 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/sys 2024-01-19 02:41:22,485 root INFO copying pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/sys/poll.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/sys 2024-01-19 02:41:22,486 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine 2024-01-19 02:41:22,486 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine 2024-01-19 02:41:22,486 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-01-19 02:41:22,486 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-01-19 02:41:22,486 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-01-19 02:41:22,487 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/tls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-01-19 02:41:22,487 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64 2024-01-19 02:41:22,487 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64 2024-01-19 02:41:22,487 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64/tls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64 2024-01-19 02:41:22,487 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-01-19 02:41:22,487 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-01-19 02:41:22,488 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-01-19 02:41:22,488 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/tls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-01-19 02:41:22,488 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 02:41:22,488 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 02:41:22,488 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/arm_tls.h -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 02:41:22,489 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/interrupt.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 02:41:22,489 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 02:41:22,489 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/read_tp.S -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 02:41:22,489 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/tls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 02:41:22,490 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-01-19 02:41:22,490 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-01-19 02:41:22,490 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-01-19 02:41:22,490 root INFO copying pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/tls.c -> build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-01-19 02:41:22,490 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/include 2024-01-19 02:41:22,491 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/include/check.h -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/include 2024-01-19 02:41:22,491 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/config 2024-01-19 02:41:22,491 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/config/default.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/config 2024-01-19 02:41:22,491 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-01-19 02:41:22,491 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/lib/checkoutput.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-01-19 02:41:22,491 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/lib/flags.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-01-19 02:41:22,492 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/lib/newlib.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-01-19 02:41:22,492 root INFO copying pythondata_software_picolibc/data/newlib/testsuite/lib/passfail.exp -> build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-01-19 02:41:22,492 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,492 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/acos_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,493 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/acosf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,493 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/acosh_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,493 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/acoshf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,493 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/asin_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,494 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/asinf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,494 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/asinh_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,494 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/asinhf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,494 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/atan2_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,495 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/atan2f_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,495 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/atan_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,496 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/atanf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,496 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/atanh_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,496 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/atanhf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,497 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/ceil_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,497 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/ceilf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,497 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/conv_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,498 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/convert.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,498 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/copysign_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,498 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/copysignf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,498 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/cos_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,499 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/cosf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,499 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/cosh_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,499 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/coshf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,499 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/dcvt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,500 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/dvec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,500 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/erf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,500 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/erfc_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,501 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/erfcf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,501 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/erff_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,501 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/exp_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,501 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/expf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,502 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/fabs_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,502 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/fabsf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,502 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/floor_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,503 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/floorf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,503 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/fmod_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,503 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/fmodf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,503 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/gamma_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,504 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/gammaf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,504 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/hypot_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,504 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/hypotf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,505 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/iconv_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,505 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/issignaling_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,505 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/j0_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,505 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/j0f_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,506 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/j1_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,506 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/j1f_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,506 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/jn_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,507 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/jnf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,507 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/log10_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,507 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/log10f_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,507 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/log1p_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,508 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/log1pf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,508 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/log2_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,508 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/log2f_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,508 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/log_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,509 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/logf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,509 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/math.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,509 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/math2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,510 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,510 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/modf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,510 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/modff_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,510 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/pow_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,510 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/powf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,511 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/scalb_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,511 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/scalbn_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,511 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sin_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,511 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sinf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,512 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sinh_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,512 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sinhf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,512 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sprint_ivec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,513 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sprint_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,513 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sqrt_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,514 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/sqrtf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,514 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/string.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,514 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/tan_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,514 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/tanf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,515 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/tanh_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,515 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/tanhf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,515 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/test.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,516 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/test.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,516 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/test_ieee.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,516 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/test_is.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,516 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/trunc_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,517 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/truncf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,517 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/y0_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,517 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/y0f_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,518 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/y1_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,518 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/y1f_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,518 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/yn_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,518 root INFO copying pythondata_software_picolibc/data/newlib/libm/test/ynf_vec.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:22,519 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,519 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,519 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cabsf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,519 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cabsl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,519 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cacos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,520 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cacosf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,520 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cacosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,520 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cacoshf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,520 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cacoshl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,520 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cacosl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,521 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/carg.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,521 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cargf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,521 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cargl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,521 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/casin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,522 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/casinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,522 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/casinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,522 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/casinhf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,522 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/casinhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,522 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/casinl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,523 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/catan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,523 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/catanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,523 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/catanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,523 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/catanhf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,523 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/catanhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,524 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/catanl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,524 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ccos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,524 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ccosf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,525 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ccosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,525 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ccoshf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,525 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ccoshl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,525 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ccosl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,525 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cephes_subr.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,526 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cephes_subr.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,526 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,526 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,526 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,527 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrl.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,527 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cexp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,527 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cexpf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,527 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cexpl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,527 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cimag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,528 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cimagf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,528 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cimagl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,528 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/clog.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,528 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/clog10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,528 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/clog10f.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,529 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/clog10l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,529 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/clogf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,529 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/clogl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,529 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/complex.tex -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,530 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/conj.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,530 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/conjf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,530 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/conjl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,530 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cpow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,530 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cpowf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,531 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cpowl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,531 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cproj.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,531 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cprojf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,531 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/cprojl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,532 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/creal.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,532 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/crealf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,532 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/creall.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,532 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,532 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,533 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,533 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csinhf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,533 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csinhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,533 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csinl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,533 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,534 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csqrtf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,534 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/csqrtl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,534 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ctan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,535 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ctanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,535 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ctanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,535 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ctanhf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,535 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ctanhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,535 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/ctanl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,536 root INFO copying pythondata_software_picolibc/data/newlib/libm/complex/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:22,536 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,536 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,536 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/k_cos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,536 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/k_rem_pio2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,537 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/k_sin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,537 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/k_tan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,537 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/kf_cos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,537 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/kf_rem_pio2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,538 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/kf_sin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,538 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/kf_tan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,538 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/math.tex -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,538 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,538 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_acos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,539 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_acosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,539 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_asin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,539 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_asinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,539 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_atan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,540 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_atan2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,540 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_atanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,540 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,540 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_cos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,540 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_cosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,541 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_drem.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,541 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_erf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,541 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_exp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,541 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_exp2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,542 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,542 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,542 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_fmod.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,542 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_frexp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,542 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_gamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,543 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_hypot.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,543 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_j0.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,543 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_j1.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,543 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_jn.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,544 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_lgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,544 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_log.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,544 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_log10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,544 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_pow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,545 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_rem_pio2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,545 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_remainder.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,545 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_scalb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,545 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_signif.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,545 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_sin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,546 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_sincos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,546 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_sinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,546 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,546 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_tan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,547 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_tanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,547 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/s_tgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,547 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_acos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,547 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_acosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,547 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_asin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,548 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_asinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,548 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_atan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,548 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_atan2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,548 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_atanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,548 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,549 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_cos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,549 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_cosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,549 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_drem.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,549 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_erf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,550 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_exp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,550 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_exp2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,550 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,550 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,551 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_fmod.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,551 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_frexp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,551 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_gamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,551 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_hypot.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,551 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_j0.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,552 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_j1.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,552 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_jn.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,552 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_lgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,552 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_log.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,553 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_log10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,553 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_log2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,553 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_pow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,553 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_rem_pio2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,554 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_remainder.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,554 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_scalb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,554 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_signif.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,554 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_sin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,554 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_sincos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,555 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_sinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,555 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,555 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_tan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,555 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_tanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,555 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sf_tgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,556 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sl_hypot.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,556 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/sr_lgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,556 root INFO copying pythondata_software_picolibc/data/newlib/libm/math/srf_lgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:22,556 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine 2024-01-19 02:41:22,557 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine 2024-01-19 02:41:22,557 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:22,557 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:22,557 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fe_dfl_env.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:22,557 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/feclearexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:22,558 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fedisableexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:22,558 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/feenableexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:22,558 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fegetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:22,558 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fegetexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:22,558 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fegetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:22,559 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fegetmode.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:22,559 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fegetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:22,559 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/feholdexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:22,559 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fenv.tex -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:22,560 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fenv_stub.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:22,560 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/feraiseexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:22,560 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fesetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:22,560 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fesetexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:22,560 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fesetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:22,561 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fesetmode.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:22,561 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fesetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:22,561 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/fetestexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:22,561 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/feupdateenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:22,561 root INFO copying pythondata_software_picolibc/data/newlib/libm/fenv/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:22,562 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,562 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,562 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/acoshl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,562 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/acosl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,562 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/asinhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,563 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/asinl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,563 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/atan2l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,563 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/atanhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,563 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/atanl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,564 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/cbrtl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,564 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/ceill.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,564 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/copysignl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,564 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/cosf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,564 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/coshl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,565 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/cosl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,565 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/dreml.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,565 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/erfcl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,565 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/erfl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,566 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/exp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,566 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/exp10l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,566 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/exp2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,566 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/exp2l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,566 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/exp_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,567 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/expl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,567 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/expm1l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,567 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/fabsl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,567 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/fdiml.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,568 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/fdlibm.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,568 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/finitel.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,568 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/floorl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,568 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/fmal.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,568 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/fmaxl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,569 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/fminl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,569 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/fmodl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,569 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/frexpl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,569 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/ilogbl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,570 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/isgreater.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,570 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/isinfl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,570 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/isnanl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,570 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/ldexpl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,570 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/lgammal.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,571 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/llrintl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,571 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/llroundl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,571 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/local.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,571 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/log.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,572 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/log10l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,572 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/log1pl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,572 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/log2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,572 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/log2_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,572 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/log2l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,573 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/log_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,573 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/logbl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,573 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/logl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,573 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/lrintl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,574 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/lroundl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,574 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_config.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,574 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_check_oflow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,574 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_check_uflow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,575 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_divzero.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,575 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_invalid.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,575 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_may_uflow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,575 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_oflow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,575 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_uflow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,576 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_err_with_errno.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,576 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_check_oflowf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,576 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_check_uflowf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,576 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_divzerof.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,576 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_invalidf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,577 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_may_uflowf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,577 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_oflowf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,577 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_uflowf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,577 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errf_with_errnof.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,578 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errl_invalidl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,578 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_errl_with_errnol.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,578 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_inexact.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,578 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/math_inexactf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,578 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,579 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/modfl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,579 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/nanl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,579 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/nearbyintl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,579 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/nextafterl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,580 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/nexttoward.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,580 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/nexttowardf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,580 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/nexttowardl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,580 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/pow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,580 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/pow10l.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,581 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/pow_log_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,581 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/powl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,581 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/remainderl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,581 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/remquol.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,582 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/rintl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,582 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/roundl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,582 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_cbrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,582 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_copysign.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,583 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_exp10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,583 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_expm1.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,583 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_fdim.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,583 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_finite.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,583 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,584 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,584 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,584 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_fpclassify.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,584 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_getpayload.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,585 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_ilogb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,585 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_infinity.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,585 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_iseqsig.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,585 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_isinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,585 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_isinfd.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,586 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_isnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,586 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_isnand.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,586 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_issignaling.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,586 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,587 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_llround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,587 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_log1p.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,587 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_log2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,587 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_logb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,587 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,588 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,588 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_modf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,588 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_nan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,588 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,589 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_nextafter.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,589 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_pow10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,589 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_remquo.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,589 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,589 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,590 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_scalbln.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,590 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_scalbn.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,590 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_signbit.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,590 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/s_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,591 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/scalblnl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,591 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/scalbnl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,591 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_cbrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,591 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_copysign.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,591 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_exp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,592 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_exp10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,592 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_exp2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,592 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_exp2_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,592 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_expm1.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,593 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_fdim.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,593 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_finite.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,593 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,593 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,593 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,594 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_fpclassify.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,594 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_getpayload.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,594 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_ilogb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,594 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_infinity.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,595 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_iseqsig.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,595 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_isinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,595 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_isinff.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,595 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_isnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,595 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_isnanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,596 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_issignaling.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,596 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,596 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_llround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,596 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_log.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,597 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_log1p.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,597 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_log2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,597 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_log2_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,597 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_log_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,597 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_logb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,598 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,598 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,598 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_modf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,599 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_nan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,599 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,599 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_nextafter.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,599 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_pow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,600 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_pow10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,600 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_pow_log2_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,600 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_remquo.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,600 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,601 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,601 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_scalbln.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,601 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_scalbn.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,601 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sf_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,601 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/signgam.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,602 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sincosf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,602 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sincosf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,602 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sincosf_data.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,602 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sincosl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,603 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,603 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sinhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,603 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sinl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,603 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sl_finite.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,603 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sl_iseqsig.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,604 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sl_issignaling.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,604 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/sqrtl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,604 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/tanhl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,604 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/tanl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,605 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/tgammal.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,605 root INFO copying pythondata_software_picolibc/data/newlib/libm/common/truncl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:22,605 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 02:41:22,605 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/fpclassify.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 02:41:22,605 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/fpclassifyf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 02:41:22,606 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isfinite.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 02:41:22,606 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isfinitef.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 02:41:22,606 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 02:41:22,606 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isinff.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 02:41:22,606 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 02:41:22,607 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isnanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 02:41:22,607 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isnormal.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 02:41:22,607 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/pru/isnormalf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 02:41:22,607 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:22,608 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:22,608 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feclearexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:22,608 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fedisableexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:22,608 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feenableexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:22,608 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:22,609 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:22,609 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:22,609 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:22,609 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feholdexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:22,609 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feraiseexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:22,610 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:22,610 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:22,610 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:22,610 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fetestexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:22,611 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feupdateenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:22,611 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/xtensa/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:22,611 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/nds32 2024-01-19 02:41:22,611 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/nds32/w_sqrt.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/nds32 2024-01-19 02:41:22,611 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/nds32/wf_sqrt.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/nds32 2024-01-19 02:41:22,612 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,612 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,612 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/fenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,612 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,612 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,613 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,613 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,613 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,613 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,613 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,614 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,614 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_llround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,614 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,614 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,615 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,615 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,615 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,615 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,615 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,616 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,616 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,616 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,616 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,617 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,617 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,617 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,617 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_llround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,617 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,618 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,618 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,618 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,618 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,618 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,619 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:22,619 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,619 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,619 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/llrintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,619 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/llround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,620 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/llroundf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,620 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/log2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,620 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/log2f.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,620 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_asinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,621 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_atan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,621 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_cbrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,621 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,621 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_copysign.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,621 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_cos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,622 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_erf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,622 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_expm1.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,622 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,622 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fdim.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,623 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,623 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,623 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,623 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,623 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_frexp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,624 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ilogb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,624 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_isnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,624 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ldexp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,624 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_log1p.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,625 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,625 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,625 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,625 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_nextafter.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,625 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_remquo.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,626 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,626 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,626 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_scalbn.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,626 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_sin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,626 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_tan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,627 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_tanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,627 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/s_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,627 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_asinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,627 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_atan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,628 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_cbrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,628 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,628 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_copysign.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,628 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_cos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,628 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_erf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,629 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_expm1.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,629 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,629 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fdim.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,629 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_finite.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,630 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,630 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,630 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,630 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,630 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fpclassify.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,631 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_frexp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,631 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ilogb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,631 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,631 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isinff.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,632 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,632 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isnanf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,632 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ldexp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,632 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_log1p.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,632 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_logb.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,633 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,633 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,633 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,633 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,634 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nextafter.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,634 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_remquo.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,634 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,634 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,634 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_scalbn.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,635 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_sin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,635 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_tan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,635 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_tanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,635 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,636 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_acos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,636 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_acosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,636 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_asin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,636 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_atan2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,636 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_atanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,637 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_cosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,637 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_exp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,637 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_exp2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,637 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_fmod.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,638 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_hypot.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,638 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_lgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,638 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_log.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,638 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_log10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,638 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_pow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,639 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_remainder.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,639 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sincos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,639 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,639 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,640 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/w_tgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,640 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_acos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,640 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_acosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,640 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_asin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,640 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_atan2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,641 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_atanh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,641 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_cosh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,641 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_exp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,641 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_exp2.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,642 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_fmod.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,642 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_hypot.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,642 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_lgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,642 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_log.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,642 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_log10.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,643 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_pow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,643 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_remainder.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,643 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sincos.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,643 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sinh.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,644 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,644 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_tgamma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:22,644 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,644 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,644 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/_fenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,645 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/feclearexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,645 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fedisableexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,645 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/feenableexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,645 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,645 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,646 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,646 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,646 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/feholdexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,646 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/feraiseexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,647 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,647 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,647 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,647 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/fetestexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,647 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/feupdateenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,648 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,648 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,648 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,648 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,648 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_fma_arm.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,649 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,649 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,649 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,649 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,650 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/s_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,650 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_ceil.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,650 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,650 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_floor.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,650 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_fma_arm.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,651 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_nearbyint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,651 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,651 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_round.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,651 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,652 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_trunc.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:22,652 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,652 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,652 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_atan2.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,652 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_atan2f.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,653 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_exp.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,653 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_expf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,653 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_frexp.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,653 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_frexpf.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,653 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_ldexp.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,654 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_ldexpf.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,654 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,654 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,654 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrintl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,655 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,655 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log10.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,655 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log10f.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,655 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_logf.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,655 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,656 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,656 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrintl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,656 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_math.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,656 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_pow.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,656 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_powf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,657 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,657 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rintf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,657 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rintl.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,657 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_tan.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,658 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/f_tanf.S -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,658 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/fenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,658 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/i386mach.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,658 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/x86/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:22,658 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-01-19 02:41:22,659 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/mips/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-01-19 02:41:22,659 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/mips/fenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-01-19 02:41:22,659 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/mips/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-01-19 02:41:22,659 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/powerpc 2024-01-19 02:41:22,659 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/powerpc/fenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/powerpc 2024-01-19 02:41:22,660 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/powerpc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/powerpc 2024-01-19 02:41:22,660 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,660 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,660 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/feclearexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,660 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,661 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,661 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,661 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/feholdexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,661 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/feraiseexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,662 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,662 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetexceptflag.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,662 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,662 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/fetestexcept.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,662 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/feupdateenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,663 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,663 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_copysign.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,663 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,663 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_finite.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,663 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,664 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fma_riscv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,664 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,664 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,664 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fpclassify.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,665 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_isinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,665 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_isnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,665 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,665 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_llround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,665 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,666 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,666 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,666 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_copysign.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,666 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fabs.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,667 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_finite.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,667 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fma.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,667 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fma_riscv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,667 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fmax.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,667 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fmin.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,668 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fpclassify.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,668 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_isinf.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,668 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_isnan.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,668 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_llrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,669 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_llround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,669 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_lrint.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,669 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_lround.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,669 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_sqrt.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:22,669 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-01-19 02:41:22,670 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/sparc/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-01-19 02:41:22,670 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/sparc/fenv.c -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-01-19 02:41:22,670 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/sparc/meson.build -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-01-19 02:41:22,670 root INFO creating build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,670 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acos.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,671 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,671 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,671 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,671 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosh.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,672 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,672 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,672 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,672 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asin.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,672 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asind2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,673 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,673 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,673 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinh.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,673 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,674 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,674 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,674 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,674 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,675 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2d2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,675 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2f.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,675 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2f4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,675 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atand2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,675 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,676 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,676 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanh.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,676 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,676 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,677 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,677 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cbrt.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,677 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cbrtf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,677 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ceil.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,678 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ceilf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,678 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/copysign.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,678 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/copysignf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,678 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cos.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,678 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cos_sin.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,679 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,679 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,679 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,679 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosh.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,680 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,680 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,680 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,680 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/divd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,681 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/divf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,681 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkd_less_than.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,681 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkd_negone_one.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,681 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkf_less_than.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,681 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkf_negone_one.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,682 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,682 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erf_utils.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,682 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfc.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,682 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,683 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,683 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,683 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,683 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erff.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,684 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erff4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,684 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,684 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,684 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2d2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,685 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2f.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,685 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2f4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,685 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,685 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,686 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,686 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,686 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1d2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,686 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1f.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,686 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1f4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,687 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fabs.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,687 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fabsf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,687 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fdim.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,687 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fdimf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,688 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feclearexcept.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,688 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fefpscr.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,688 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,688 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetexceptflag.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,688 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetround.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,689 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feholdexcept.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,689 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feraiseexcept.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,689 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,689 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetexceptflag.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,690 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetround.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,690 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fetestexcept.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,690 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feupdateenv.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,690 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floor.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,690 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floord2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,691 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floorf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,691 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floorf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,691 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fma.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,691 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmaf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,692 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmax.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,692 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmaxf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,692 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmin.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,692 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fminf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,693 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmod.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,693 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmodf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,693 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/frexp.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,693 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/frexpf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,693 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypot.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,694 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,694 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,694 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,694 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ilogb.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,695 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ilogbf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,695 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnan.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,695 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnand2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,695 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnanf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,696 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnanf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,696 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexp.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,696 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,696 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,696 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,697 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgamma.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,697 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammad2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,697 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammaf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,697 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammaf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,698 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llrint.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,698 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llrintf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,698 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llround.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,698 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llroundf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,699 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,699 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,699 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10d2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,699 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10f.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,699 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1p.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,700 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,700 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,700 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,700 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,701 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2d2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,701 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2f.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,701 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2f4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,701 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logbf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,702 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logbf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,702 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,702 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,702 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,702 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lrint.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,703 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lrintf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,703 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lround.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,703 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lroundf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,703 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyint.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,704 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyintf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,704 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyintf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,704 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafter.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,704 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,704 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,705 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,705 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/pow.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,705 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,705 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,706 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,706 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/recipd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,706 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/recipf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,706 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remainder.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,707 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remainderf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,707 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remquo.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,707 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remquof.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,707 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rint.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,708 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rintf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,708 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rintf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,708 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/round.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,708 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/roundf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,709 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbn.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,709 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbnf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,709 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbnf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,709 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/signbit.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,709 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/signbitd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,710 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/simdmath.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,710 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sin.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,710 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincos.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,710 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,711 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,711 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,711 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sind2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,711 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,712 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,712 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinh.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,712 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,712 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,712 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,713 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrt.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,713 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,713 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,713 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,714 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tan.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,714 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tand2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,714 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,714 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,715 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanh.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,715 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,715 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,715 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,716 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgamma.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,716 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammad2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,716 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammaf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,716 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammaf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,717 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/trunc.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,717 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncd2.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,717 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncf.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,717 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncf4.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,717 root INFO copying pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/vec_literal.h -> build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:22,718 root INFO creating build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,718 root INFO copying pythondata_software_picolibc/data/test/semihost/meson.build -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,718 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-argv.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,718 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-clock.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,718 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-close.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,719 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-elapsed.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,719 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-errno.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,719 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-exit-extended-failure.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,719 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-exit-extended.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,720 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-exit-failure.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,720 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-exit.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,720 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-flen.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,720 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-get-cmdline.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,720 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-gettimeofday.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,721 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-heapinfo.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,721 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-iserror.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,721 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-istty.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,721 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-open.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,722 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-read.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,722 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-readc.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,722 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-remove.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,722 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-rename.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,722 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-seek.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,723 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-system-failure.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,723 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-system.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,723 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-tickfreq.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,724 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-time.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,724 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-tmpnam.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,724 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-tmpname.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,724 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-write.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,725 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-write0.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,725 root INFO copying pythondata_software_picolibc/data/test/semihost/semihost-writec.c -> build/lib/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:22,725 root INFO creating build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:22,725 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/basename.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:22,725 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/dirname.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:22,725 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/fnmatch.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:22,726 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/meson.build -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:22,726 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/qsort.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:22,726 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/snprintf.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:22,726 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/sscanf.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:22,727 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/string.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:22,727 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/strtod.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:22,727 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/strtol.c -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:22,727 root INFO copying pythondata_software_picolibc/data/test/libc-testsuite/testcase.h -> build/lib/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:22,728 root INFO creating build/lib/pythondata_software_picolibc/data/semihost/fake 2024-01-19 02:41:22,728 root INFO copying pythondata_software_picolibc/data/semihost/fake/fake_exit.c -> build/lib/pythondata_software_picolibc/data/semihost/fake 2024-01-19 02:41:22,728 root INFO copying pythondata_software_picolibc/data/semihost/fake/fake_io.c -> build/lib/pythondata_software_picolibc/data/semihost/fake 2024-01-19 02:41:22,728 root INFO copying pythondata_software_picolibc/data/semihost/fake/fake_kill.c -> build/lib/pythondata_software_picolibc/data/semihost/fake 2024-01-19 02:41:22,728 root INFO copying pythondata_software_picolibc/data/semihost/fake/fake_stub.c -> build/lib/pythondata_software_picolibc/data/semihost/fake 2024-01-19 02:41:22,729 root INFO copying pythondata_software_picolibc/data/semihost/fake/meson.build -> build/lib/pythondata_software_picolibc/data/semihost/fake 2024-01-19 02:41:22,729 root INFO creating build/lib/pythondata_software_picolibc/data/semihost/machine 2024-01-19 02:41:22,729 root INFO creating build/lib/pythondata_software_picolibc/data/semihost/machine/aarch64 2024-01-19 02:41:22,729 root INFO copying pythondata_software_picolibc/data/semihost/machine/aarch64/meson.build -> build/lib/pythondata_software_picolibc/data/semihost/machine/aarch64 2024-01-19 02:41:22,729 root INFO copying pythondata_software_picolibc/data/semihost/machine/aarch64/semihost-aarch64.S -> build/lib/pythondata_software_picolibc/data/semihost/machine/aarch64 2024-01-19 02:41:22,729 root INFO creating build/lib/pythondata_software_picolibc/data/semihost/machine/arm 2024-01-19 02:41:22,730 root INFO copying pythondata_software_picolibc/data/semihost/machine/arm/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/semihost/machine/arm 2024-01-19 02:41:22,730 root INFO copying pythondata_software_picolibc/data/semihost/machine/arm/meson.build -> build/lib/pythondata_software_picolibc/data/semihost/machine/arm 2024-01-19 02:41:22,730 root INFO copying pythondata_software_picolibc/data/semihost/machine/arm/semihost-arm.S -> build/lib/pythondata_software_picolibc/data/semihost/machine/arm 2024-01-19 02:41:22,730 root INFO creating build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 02:41:22,730 root INFO copying pythondata_software_picolibc/data/semihost/machine/x86/bios.S -> build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 02:41:22,731 root INFO copying pythondata_software_picolibc/data/semihost/machine/x86/bios.ld -> build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 02:41:22,731 root INFO copying pythondata_software_picolibc/data/semihost/machine/x86/e9_exit.c -> build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 02:41:22,731 root INFO copying pythondata_software_picolibc/data/semihost/machine/x86/e9_io.c -> build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 02:41:22,731 root INFO copying pythondata_software_picolibc/data/semihost/machine/x86/e9_kill.c -> build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 02:41:22,731 root INFO copying pythondata_software_picolibc/data/semihost/machine/x86/e9_stub.c -> build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 02:41:22,732 root INFO copying pythondata_software_picolibc/data/semihost/machine/x86/meson.build -> build/lib/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 02:41:22,732 root INFO creating build/lib/pythondata_software_picolibc/data/semihost/machine/riscv 2024-01-19 02:41:22,732 root INFO copying pythondata_software_picolibc/data/semihost/machine/riscv/meson.build -> build/lib/pythondata_software_picolibc/data/semihost/machine/riscv 2024-01-19 02:41:22,732 root INFO copying pythondata_software_picolibc/data/semihost/machine/riscv/semihost-riscv.s -> build/lib/pythondata_software_picolibc/data/semihost/machine/riscv 2024-01-19 02:41:22,732 root INFO creating build/lib/pythondata_software_picolibc/data/picocrt/shared 2024-01-19 02:41:22,733 root INFO copying pythondata_software_picolibc/data/picocrt/shared/crt0.c -> build/lib/pythondata_software_picolibc/data/picocrt/shared 2024-01-19 02:41:22,733 root INFO creating build/lib/pythondata_software_picolibc/data/picocrt/machine 2024-01-19 02:41:22,733 root INFO creating build/lib/pythondata_software_picolibc/data/picocrt/machine/aarch64 2024-01-19 02:41:22,733 root INFO copying pythondata_software_picolibc/data/picocrt/machine/aarch64/crt0.c -> build/lib/pythondata_software_picolibc/data/picocrt/machine/aarch64 2024-01-19 02:41:22,733 root INFO copying pythondata_software_picolibc/data/picocrt/machine/aarch64/meson.build -> build/lib/pythondata_software_picolibc/data/picocrt/machine/aarch64 2024-01-19 02:41:22,733 root INFO creating build/lib/pythondata_software_picolibc/data/picocrt/machine/arm 2024-01-19 02:41:22,734 root INFO copying pythondata_software_picolibc/data/picocrt/machine/arm/CMakeLists.txt -> build/lib/pythondata_software_picolibc/data/picocrt/machine/arm 2024-01-19 02:41:22,734 root INFO copying pythondata_software_picolibc/data/picocrt/machine/arm/crt0.c -> build/lib/pythondata_software_picolibc/data/picocrt/machine/arm 2024-01-19 02:41:22,734 root INFO copying pythondata_software_picolibc/data/picocrt/machine/arm/meson.build -> build/lib/pythondata_software_picolibc/data/picocrt/machine/arm 2024-01-19 02:41:22,734 root INFO creating build/lib/pythondata_software_picolibc/data/picocrt/machine/x86 2024-01-19 02:41:22,734 root INFO copying pythondata_software_picolibc/data/picocrt/machine/x86/crt0-32.S -> build/lib/pythondata_software_picolibc/data/picocrt/machine/x86 2024-01-19 02:41:22,735 root INFO copying pythondata_software_picolibc/data/picocrt/machine/x86/crt0-64.S -> build/lib/pythondata_software_picolibc/data/picocrt/machine/x86 2024-01-19 02:41:22,735 root INFO copying pythondata_software_picolibc/data/picocrt/machine/x86/crt0.S -> build/lib/pythondata_software_picolibc/data/picocrt/machine/x86 2024-01-19 02:41:22,735 root INFO copying pythondata_software_picolibc/data/picocrt/machine/x86/meson.build -> build/lib/pythondata_software_picolibc/data/picocrt/machine/x86 2024-01-19 02:41:22,735 root INFO creating build/lib/pythondata_software_picolibc/data/picocrt/machine/riscv 2024-01-19 02:41:22,735 root INFO copying pythondata_software_picolibc/data/picocrt/machine/riscv/crt0.c -> build/lib/pythondata_software_picolibc/data/picocrt/machine/riscv 2024-01-19 02:41:22,736 root INFO copying pythondata_software_picolibc/data/picocrt/machine/riscv/meson.build -> build/lib/pythondata_software_picolibc/data/picocrt/machine/riscv 2024-01-19 02:41:22,758 wheel INFO installing to build/bdist.linux-x86_64/wheel 2024-01-19 02:41:22,758 root INFO running install 2024-01-19 02:41:22,767 root INFO running install_lib 2024-01-19 02:41:22,769 root INFO creating build/bdist.linux-x86_64 2024-01-19 02:41:22,769 root INFO creating build/bdist.linux-x86_64/wheel 2024-01-19 02:41:22,769 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc 2024-01-19 02:41:22,769 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data 2024-01-19 02:41:22,769 root INFO copying build/lib/pythondata_software_picolibc/data/picolibc.ld.in -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data 2024-01-19 02:41:22,770 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:22,770 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/MAINTAINERS -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:22,770 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:22,770 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc 2024-01-19 02:41:22,771 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,771 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbtowc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,771 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/efgcvt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,771 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/calloc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,771 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/pico-exit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,771 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mprec.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,772 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mprec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,772 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/seed48.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,772 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,772 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-valloc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,773 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/lcong48.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,773 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mstats.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,773 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoul.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,773 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstod.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,773 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-memalign.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,774 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtoull.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,774 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,774 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-cfree.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,774 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/cxa_finalize.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,774 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/lrand48.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,775 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,775 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,775 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-memalign.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,775 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-free.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,775 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/exit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,776 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/__atexit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,776 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/pico-onexit.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,776 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/atexit.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,776 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/atol.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,776 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbsinit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,776 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-cfree.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,777 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/itoa.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,777 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcsrtombs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,777 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/div.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,777 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-hexnan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,777 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/environ.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,778 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtoimax.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,778 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbstowcs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,778 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/setenv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,778 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mrand48.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,778 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/imaxdiv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,779 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-realloc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,779 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,779 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/srandom.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,779 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-ldtoa.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,779 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nrand48.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,780 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-pvalloc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,780 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/atoi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,780 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/atexit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,780 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtodg.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,780 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/__call_atexit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,781 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random_uniform.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,781 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-mallocr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,781 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/stdlib.tex -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,781 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/pico-atexit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,781 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/getopt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,782 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/rand.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,782 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/a64l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,782 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc_stats.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,782 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-mallinfo.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,782 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/llabs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,783 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtorx.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,783 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoaimp.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,783 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtoul.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,783 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/std.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,783 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtol.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,784 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/ecvtbuf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,784 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/lldiv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,784 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/btowc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,784 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/abort.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,784 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-calloc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,785 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gdtoa.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,785 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-dmisc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,785 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbrtowc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,785 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc_stats.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,785 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbsrtowcs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,786 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoimax.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,786 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtod.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,786 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/ldiv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,786 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoumax.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,786 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/chacha_private.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,787 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit_args.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,787 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoull.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,787 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/assert.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,787 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/utoa.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,788 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/drand48.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,788 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/pico-onexit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,788 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbsnrtowcs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,788 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/dtoa.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,788 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtoll.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,789 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-realloc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,789 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/getenv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,789 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcsnrtombs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,789 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/jrand48.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,790 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/abs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,790 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/rand48.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,790 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/quick_exit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,790 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gmisc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,790 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,791 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mallocr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,791 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstombs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,791 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mtrim.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,791 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/_Exit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,791 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/srand.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,792 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbctype.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,792 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/srand48.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,792 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc_usable_size.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,792 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wctomb_r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,792 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-valloc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,793 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/pico-cxa-atexit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,793 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/cxa_atexit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,793 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/imaxabs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,793 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-mallopt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,793 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstol.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,794 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-posix_memalign.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,794 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/getsubopt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,794 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/getsubopt.3 -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,794 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/ldtoa.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,795 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/rand_r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,795 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstold.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,795 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/system.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,795 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/rand48.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,795 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,796 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,796 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit_args.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,796 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gethex.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,796 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/aligned_alloc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,796 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoll.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,797 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcrtomb.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,797 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/putenv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,797 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoll_r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,797 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-free.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,797 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-calloc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,798 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/eprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,798 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-pvalloc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,798 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/labs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,798 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/atoll.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,798 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/random.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,799 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mblen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,799 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/erand48.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,799 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbrlen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,799 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/atoff.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,799 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/l64a.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,799 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mbtowc_r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,800 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-mallinfo.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,800 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/atof.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,800 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoull_r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,800 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/rpmatch.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,801 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/mul_overflow.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,801 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-mallopt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,801 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wctob.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,801 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/wctomb.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,801 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtoumax.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,802 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-getpagesize.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,802 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/getenv_r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,802 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/local.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,802 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/strtold.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,802 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/reallocf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,803 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/sb_charsets.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,803 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc_usable_size.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,803 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdlib/reallocarray.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdlib 2024-01-19 02:41:22,803 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,803 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/asprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,804 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/gcvt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,804 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/sflags.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,804 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fclose.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,804 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vfiprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,804 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoull_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,805 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fseeko.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,805 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,805 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 02:41:22,805 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/d2s_intrinsics.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 02:41:22,805 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/ryu.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 02:41:22,806 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/ryu_parse.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 02:41:22,806 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/common.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 02:41:22,806 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/f2s_intrinsics.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 02:41:22,806 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/digit_table.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu 2024-01-19 02:41:22,806 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fdopen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,807 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoull.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,807 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_log2pow5.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,807 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_ryu.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,807 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtold_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,807 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/setvbuf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,808 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoll_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,808 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/atold_engine.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,808 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/gets.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,808 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fputc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,808 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_engine.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,808 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strfromd.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,809 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/perror.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,809 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/atod_ryu.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,809 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/scanf_private.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,809 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvtf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,809 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/setbuffer.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,810 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vfiscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,810 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintfd.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,810 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoimax.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,810 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoul_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,810 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,811 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/atod_engine.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,811 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fread.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,811 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrputalloc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,811 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/make-dtoa-data -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,811 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/atof_engine.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,812 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/clearerr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,812 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/atof_ryu.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,812 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvt_r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,812 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fdevopen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,812 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/tmpfile.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,813 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/gcvtf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,813 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_data.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,813 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtod_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,813 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vsnprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,813 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvt_r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,813 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ferror.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,814 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoul.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,814 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ultoa_invert.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,814 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintff.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,814 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,814 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,815 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtol.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,815 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/matchcaseprefix.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,815 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/feof.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,815 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/bufio.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,815 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fseek.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,816 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fwrite.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,816 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_engine.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,816 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_log10.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,816 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_divpow2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,816 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/getchar.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,817 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strfromf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,817 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintff.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,817 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrget.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,817 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fgets.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,817 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fflush.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,818 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fgetc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,818 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/posixiob.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,818 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtod.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,818 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vfscanff.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,818 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,819 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,819 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,819 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoll.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,819 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vsprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,819 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fputs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,820 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fopen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,820 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vfprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,820 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vasprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,820 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/rewind.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,820 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio-bufio.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,821 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_engine.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,821 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoi.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,821 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/mktemp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,821 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/compare_exchange.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,822 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtof.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,822 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_umul128.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,822 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/setlinebuf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,822 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/setbuf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,822 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,823 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvtf_r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,823 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_data.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,823 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_ryu.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,823 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,823 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/tmpnam.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,824 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio_private.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,824 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrput.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,824 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/xtoa_fast.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,824 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ftell.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,824 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,825 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvtf_r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,825 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/puts.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,825 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/exchange.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,825 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtof_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,825 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ftello.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,826 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vfprintff.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,826 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vfscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,826 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/putchar.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,826 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_pow5bits.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,826 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/vsscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,827 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/conv_flt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,827 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/printf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,827 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ungetc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,827 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fmemopen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,827 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/remove.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,828 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,828 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtol_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,828 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvtf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,828 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintfd.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,828 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoumax.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,829 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_table.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,829 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/sscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,829 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/strtold.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,829 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/fileno.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,829 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/freopen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,830 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_engine.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,830 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/tinystdio/scanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/tinystdio 2024-01-19 02:41:22,830 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc 2024-01-19 02:41:22,830 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,830 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/gettzinfo.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,831 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/strftime.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,831 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/asctime_r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,831 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,831 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/gmtime_r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,831 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/wcsftime.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,832 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/difftime.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,832 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/strptime.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,832 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/clock.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,832 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/lcltime_buf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,832 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/month_lengths.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,833 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/tzvars.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,833 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/tzset.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,833 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/mktime.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,833 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/time.tex -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,833 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/asctime.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,834 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,834 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/ctime.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,834 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/tzcalc_limits.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,834 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/lcltime_r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,834 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/gmtime.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,835 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/time.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,835 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/lcltime.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,835 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/ctime_r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,835 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/time/local.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/time 2024-01-19 02:41:22,835 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,836 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/envlock.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,836 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/wchar.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,836 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/spawn.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,836 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/complex.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,836 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/search.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,837 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/paths.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,837 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/unistd.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,837 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/endian.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,837 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/bits 2024-01-19 02:41:22,837 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/bits/types 2024-01-19 02:41:22,837 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/bits/types/mbstate_t.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/bits/types 2024-01-19 02:41:22,838 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/elf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,838 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/alloca.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,838 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/setjmp.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,838 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/fastmath.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,838 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/time.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,839 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/tar.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,839 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/grp.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,839 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ndbm.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,839 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/assert.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,839 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/signal.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,840 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/newlib.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,840 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/libgen.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,840 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/inttypes.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,840 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,840 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/fcntl.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,841 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/envz.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,841 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/malloc.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,841 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/picotls.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,841 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/wctype.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,841 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ieeefp.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,842 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/strings.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,842 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/utime.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,842 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/_syslist.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,842 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/devctl.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,842 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/math.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,843 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/_ansi.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,843 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ar.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,843 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/stdint.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,843 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sched.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,843 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/getopt.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,844 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/dirent.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,844 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/stdlib.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,844 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/threads.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,844 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/termios.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,844 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/memory.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,845 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/iconv.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,845 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/glob.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,845 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/wordexp.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,845 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/string.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,845 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/byteswap.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,846 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/locale.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,846 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ftw.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,846 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/unctrl.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,846 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 02:41:22,846 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/wchar.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 02:41:22,846 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/unistd.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 02:41:22,847 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 02:41:22,847 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/strings.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 02:41:22,847 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/stdlib.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 02:41:22,847 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/stdio.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 02:41:22,847 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/string.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 02:41:22,848 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 02:41:22,848 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ssp/ssp.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/ssp 2024-01-19 02:41:22,848 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,849 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/_time.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,849 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/endian.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,849 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/setjmp.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,849 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/fastmath.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,849 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/time.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,850 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/param.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,850 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/_endian.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,850 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,850 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/malloc.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,850 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/ieeefp.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,851 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/ieee.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,851 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/math.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,851 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/_arc4random.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,851 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/stdlib.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,851 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/termios.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,852 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/types.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,852 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/ansi.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,852 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,852 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/_default_types.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,852 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/_types.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,852 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/machine/setjmp-dj.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/machine 2024-01-19 02:41:22,853 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,853 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/errno.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,853 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/langinfo.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,853 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/fenv.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,854 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/fnmatch.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,854 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/pwd.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,854 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/argz.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,854 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/_newlib_version.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,854 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/cpio.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,855 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/ctype.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,855 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-01-19 02:41:22,855 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-01-19 02:41:22,855 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc/types.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-01-19 02:41:22,855 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-01-19 02:41:22,855 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/rpc/xdr.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/rpc 2024-01-19 02:41:22,856 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-01-19 02:41:22,856 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/arpa/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-01-19 02:41:22,856 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/arpa/inet.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-01-19 02:41:22,856 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/arpa/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/arpa 2024-01-19 02:41:22,856 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/utmp.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,857 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,857 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_timespec.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,857 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_stdint.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,857 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/select.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,857 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/iconvnls.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,858 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/unistd.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,858 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/file.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,858 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/time.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,858 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/param.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,858 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/signal.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,859 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,859 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/fcntl.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,859 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/syslimits.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,859 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/utime.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,859 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_default_fcntl.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,860 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_intsup.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,860 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/timespec.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,860 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_locale.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,860 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/sched.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,860 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/times.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,861 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_sigset.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,861 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/dirent.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,861 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/types.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,861 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/features.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,861 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/custom_file.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,862 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/string.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,862 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/cdefs.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,862 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_timeval.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,862 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/resource.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,862 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_tz_structs.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,863 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/queue.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,863 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,863 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/errno.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,863 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/lock.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,863 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/wait.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,864 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/fenv.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,864 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/auxv.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,864 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/tree.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,864 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/timeb.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,864 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/config.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,865 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/_types.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,865 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/stat.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,865 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/sys/dir.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include/sys 2024-01-19 02:41:22,865 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/regdef.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,865 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/limits.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,866 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/include/regex.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/include 2024-01-19 02:41:22,866 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,866 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/fsetpos64.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,866 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/fseeko64.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,866 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/fdopen64.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,867 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/fopen64.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,867 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/freopen64.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,867 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/tmpfile64.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,867 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/fgetpos64.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,867 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,868 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/ftello64.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,868 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/stdio64.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,868 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio64/stdio64.tex -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio64 2024-01-19 02:41:22,868 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,868 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/envz_add.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,869 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_extract.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,869 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_create_sep.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,869 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/envz_entry.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,869 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/envz_get.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,869 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_add.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,870 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_create.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,870 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,870 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_insert.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,870 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/envz_remove.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,870 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_add_sep.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,870 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_replace.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,871 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_next.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,871 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_delete.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,871 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/envz_merge.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,871 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,871 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/envz_strip.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,872 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/buf_findstr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,872 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_stringify.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,872 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_append.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,872 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/buf_findstr.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,872 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/argz/argz_count.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/argz 2024-01-19 02:41:22,873 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,873 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/cclass.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,873 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/fnmatch.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,873 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/rune.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,873 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/regfree.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,873 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/regerror.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,874 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,874 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/collate.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,874 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/namespace.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,874 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/collate.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,875 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/cname.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,875 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/regex2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,875 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/regexec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,875 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/regcomp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,875 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/basename.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,876 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/engine.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,876 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/collcmp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,876 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/dirname.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,876 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/un-namespace.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,876 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,877 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/utils.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,877 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/runetype.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,877 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/ftw.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,877 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/nftw.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,877 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/posix/sysexits.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/posix 2024-01-19 02:41:22,878 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/sys.tex -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc 2024-01-19 02:41:22,878 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,878 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/tdelete.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,878 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hcreate.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,878 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hcreate_r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,879 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hash_log2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,879 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/ndbm.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,879 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,879 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hash_func.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,879 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hash.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,880 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/db_local.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,880 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hash.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,880 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hcreate.3 -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,880 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hash_page.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,880 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/extern.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,881 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/qsort_r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,881 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/tsearch.3 -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,881 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/qsort.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,881 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/bsd_qsort_r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,881 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,882 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/tfind.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,882 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/bsearch.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,882 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hash_buf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,882 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/tsearch.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,882 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/hash_bigkey.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,883 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/page.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,883 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/tdestroy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,883 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/search/twalk.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/search 2024-01-19 02:41:22,883 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv 2024-01-19 02:41:22,883 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,883 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/table.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,884 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,884 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesbi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,884 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/utf-8.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,884 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/table-pcs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,885 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesdeps.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,885 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/mkdeps.pl -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,885 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,885 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/euc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,885 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesbi.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,886 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,886 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/us-ascii.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,886 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-4.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,886 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/utf-16.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,886 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-4-internal.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,887 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-2-internal.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ces 2024-01-19 02:41:22,887 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv 2024-01-19 02:41:22,887 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/iconv.tex -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv 2024-01-19 02:41:22,887 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/encoding.aliases -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv 2024-01-19 02:41:22,887 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv 2024-01-19 02:41:22,888 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,888 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp866.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,888 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/big5.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,889 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane14.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,890 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsbi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,890 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_ir_111.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,891 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_11.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,891 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_10.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,891 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,891 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1256.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,892 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ksx1001.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,893 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1257.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,893 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0208_1990.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,894 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0212_1990.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,896 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_9.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,896 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_7.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,896 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1258.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,896 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1254.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,897 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,897 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_5.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,897 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,897 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_8.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,897 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,898 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,898 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_15.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,898 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp855.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,898 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_r.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,898 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_14.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,899 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_9.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,899 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1252.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,899 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane14.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,899 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_13.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,900 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1251.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,900 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,900 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_u.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,900 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane1.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,901 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1257.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,901 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_11.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,901 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_3.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,901 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_8.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,902 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1250.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,902 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0201_1976.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,902 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane2.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,903 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_10.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,903 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/big5.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,903 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_2.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,904 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0212_1990.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,904 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1254.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,904 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_ir_111.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,905 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_1.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,905 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_5.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,905 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1255.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,905 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_4.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,905 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_uni.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,906 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1256.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,906 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/ksx1001.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,906 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1253.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,907 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0208_1990.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,907 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,907 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp850.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,908 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp866.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,908 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_7.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,908 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_6.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,908 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp852.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,908 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1258.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,909 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp775.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,909 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_ru.cct -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary 2024-01-19 02:41:22,909 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp852.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,909 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_6.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,909 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsnames.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,910 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1253.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,910 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_ru.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,910 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0201_1976.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,910 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,911 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane1.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,911 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_uni.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,912 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp850.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,912 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp855.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,912 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_1.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,912 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1251.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,913 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1255.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,913 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,913 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsbi.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,913 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp775.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,913 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_15.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,914 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/mktbl.pl -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,914 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccs.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,914 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_13.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,914 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_4.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,915 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_14.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,915 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,916 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1252.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,916 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1250.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/ccs 2024-01-19 02:41:22,917 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,917 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconvnls.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,917 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/endian.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,917 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,917 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/conv.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,917 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/nullconv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,918 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/aliasesbi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,918 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,918 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/ucsconv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,918 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,919 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/aliasesi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,919 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/encnames.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,919 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/encoding.deps -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,919 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconvnls.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,919 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/local.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,920 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/iconv/lib/ucsconv.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/iconv/lib 2024-01-19 02:41:22,920 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,920 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswupper_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,920 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/toupper_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,920 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswdigit_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,921 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswxdigit_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,921 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isascii_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,921 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/toascii.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,921 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/categories.cat -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,922 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/mkunidata -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,922 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswgraph_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,922 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswcntrl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,922 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/towlower.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,922 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/ctype.tex -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,923 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswctype_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,923 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswspace_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,923 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/ctype_iso.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,923 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswcntrl_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,923 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isalnum.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,924 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isdigit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,924 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/ctype_.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,924 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iscntrl_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,924 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,925 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswpunct.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,925 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswctype.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,925 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isupper.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,925 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isprint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,925 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/jp2uc.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,926 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/ispunct_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,926 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswxdigit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,926 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isalnum_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,926 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/caseconv.t -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,927 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isxdigit_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,927 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/towupper.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,927 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isalpha_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,927 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/towupper_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,927 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswdigit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,928 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isspace.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,928 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/jp2uc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,928 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/towlower_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,928 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/wctrans.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,928 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswupper.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,929 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isdigit_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,929 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/islower.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,929 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isblank_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,929 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswprint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,929 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isspace_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,930 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/mkcaseconv -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,930 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswblank.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,930 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/towctrans.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,930 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/towctrans_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,930 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isblank.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,931 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isprint_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,931 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iscntrl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,931 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isalpha.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,931 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isxdigit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,932 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswgraph.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,932 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/wctype_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,932 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/categories.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,932 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/ctype_cp.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,932 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/ctype_.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,933 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/categories.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,933 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/ispunct.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,933 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,933 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/islower_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,933 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswalnum.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,934 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/wctype.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,934 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswalnum_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,934 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/mkcategories -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,934 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/tolower.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,935 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/toupper.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,935 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswspace.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,935 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isupper_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,935 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswpunct_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,935 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswalpha_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,936 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/isascii.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,936 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswalpha.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,936 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswlower_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,936 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswlower.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,936 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/categories.t -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,937 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/toascii_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,937 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/local.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,937 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswprint_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,937 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/iswblank_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,938 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/wctrans_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,938 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ctype/tolower_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ctype 2024-01-19 02:41:22,938 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,938 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/strncat_chk.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,938 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/sprintf_chk.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,939 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/strncpy_chk.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,939 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/vsprintf_chk.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,939 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/stpcpy_chk.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,939 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/stack_protector.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,939 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/vsnprintf_chk.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,939 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/strcpy_chk.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,940 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,940 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/chk_fail.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,940 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/snprintf_chk.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,940 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/gets_chk.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,940 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/memmove_chk.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,941 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/strcat_chk.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,941 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/ssp.tex -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,941 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/stpncpy_chk.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,941 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,941 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/memcpy_chk.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,941 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/memset_chk.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,942 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/ssp/mempcpy_chk.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/ssp 2024-01-19 02:41:22,942 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine 2024-01-19 02:41:22,942 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/pru 2024-01-19 02:41:22,942 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/pru/setjmp.s -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/pru 2024-01-19 02:41:22,942 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 02:41:22,943 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/memcpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 02:41:22,943 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/memmove.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 02:41:22,943 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/libcdtor.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 02:41:22,943 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris/include 2024-01-19 02:41:22,943 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/include/pthread.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris/include 2024-01-19 02:41:22,944 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/setjmp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 02:41:22,944 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/memset.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris 2024-01-19 02:41:22,944 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-01-19 02:41:22,944 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/signal.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-01-19 02:41:22,944 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/fcntl.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-01-19 02:41:22,945 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/errno.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cris/sys 2024-01-19 02:41:22,945 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-01-19 02:41:22,945 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nios2/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-01-19 02:41:22,945 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nios2/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-01-19 02:41:22,945 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nios2/setjmp.s -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nios2 2024-01-19 02:41:22,946 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-01-19 02:41:22,946 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70/necv70.tex -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-01-19 02:41:22,946 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/necv70/machine 2024-01-19 02:41:22,946 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70/machine/registers.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/necv70/machine 2024-01-19 02:41:22,946 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-01-19 02:41:22,946 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/necv70/fastmath.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/necv70 2024-01-19 02:41:22,947 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/bfin 2024-01-19 02:41:22,947 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/bfin/longjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/bfin 2024-01-19 02:41:22,947 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/bfin/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/bfin 2024-01-19 02:41:22,947 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 02:41:22,947 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze/mb_endian.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 02:41:22,948 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strlen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 02:41:22,948 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze/longjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 02:41:22,948 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze/abort.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 02:41:22,948 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strcmp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 02:41:22,948 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strcpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 02:41:22,949 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/microblaze/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/microblaze 2024-01-19 02:41:22,949 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/msp430 2024-01-19 02:41:22,949 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/msp430/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/msp430 2024-01-19 02:41:22,949 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/msp430/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/msp430 2024-01-19 02:41:22,949 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 02:41:22,949 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/mulsi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 02:41:22,950 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/smulhi3.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 02:41:22,950 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/umodhi3.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 02:41:22,950 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/sdivhi3.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 02:41:22,950 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/cmpsi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 02:41:22,950 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/divsi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 02:41:22,951 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/lshrhi.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 02:41:22,951 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/w65/udivhi3.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/w65 2024-01-19 02:41:22,951 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,951 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,951 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,952 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,952 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strlen.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,952 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/memset.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,952 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strncpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,952 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/xtensa.tex -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,953 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-01-19 02:41:22,953 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-01-19 02:41:22,953 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/core-isa.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-01-19 02:41:22,953 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine 2024-01-19 02:41:22,953 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,954 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,954 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/memcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,954 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-01-19 02:41:22,954 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-01-19 02:41:22,954 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-01-19 02:41:22,955 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/fenv.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys 2024-01-19 02:41:22,955 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xtensa/xtensa-asm.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xtensa 2024-01-19 02:41:22,955 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/tic80 2024-01-19 02:41:22,955 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic80/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/tic80 2024-01-19 02:41:22,955 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 02:41:22,955 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32/strcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 02:41:22,956 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32/strcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 02:41:22,956 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32/stpcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 02:41:22,956 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32/strlen.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 02:41:22,956 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32/memset.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 02:41:22,956 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 02:41:22,957 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/ft32/memcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/ft32 2024-01-19 02:41:22,957 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/d10v 2024-01-19 02:41:22,957 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/d10v/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/d10v 2024-01-19 02:41:22,957 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 02:41:22,957 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium/memcpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 02:41:22,958 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium/memmove.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 02:41:22,958 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium/memcpy.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 02:41:22,958 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 02:41:22,958 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium/memset.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 02:41:22,958 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/visium/memset.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/visium 2024-01-19 02:41:22,958 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mep 2024-01-19 02:41:22,959 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mep/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mep 2024-01-19 02:41:22,959 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 02:41:22,959 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32/strcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 02:41:22,959 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32/strcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 02:41:22,959 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32/memset.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 02:41:22,960 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32/abort.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 02:41:22,960 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 02:41:22,960 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nds32/memcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nds32 2024-01-19 02:41:22,960 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine 2024-01-19 02:41:22,960 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rl78 2024-01-19 02:41:22,961 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rl78/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rl78 2024-01-19 02:41:22,961 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,961 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/rawmemchr-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,961 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,961 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memmove.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,962 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memchr-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,962 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcmp-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,962 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcpy-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,962 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,963 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcpy-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,963 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchrnul-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,963 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,963 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/stpcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,963 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strlen.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,964 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memset.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,964 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/rawmemchr.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,964 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchr.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,964 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strnlen-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,964 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strrchr.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,965 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strncmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,965 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memmove-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,965 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strlen-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,965 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchrnul.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,966 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strnlen.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,966 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 02:41:22,966 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 02:41:22,966 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/math.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 02:41:22,966 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/fenv-fp.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 02:41:22,967 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 02:41:22,967 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/_types.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine 2024-01-19 02:41:22,967 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,967 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memset-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,967 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/stpcpy-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,968 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchr-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,968 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memchr.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,968 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,968 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strrchr-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,969 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strncmp-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,969 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,969 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcmp-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,969 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-01-19 02:41:22,969 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-01-19 02:41:22,970 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/fcntl.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-01-19 02:41:22,970 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-01-19 02:41:22,970 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/fenv.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys 2024-01-19 02:41:22,970 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/aarch64 2024-01-19 02:41:22,971 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 02:41:22,971 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k/memmove.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 02:41:22,971 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k/memset.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 02:41:22,971 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k/args.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 02:41:22,971 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 02:41:22,971 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k/memcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 02:41:22,972 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/z8k/memcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/z8k 2024-01-19 02:41:22,972 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,972 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,972 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strncat.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,972 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strncat_ca.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,973 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strdup.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,973 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,973 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strncmp_ca.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,974 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strncpy_ca.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,974 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strlen.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,974 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memccpy_ca.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,974 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memset.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,974 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strchr_ca.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,975 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strchr.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,975 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strncpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,975 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strrchr.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,975 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strlen_ca.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,975 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strcmp_ca.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,976 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strcpy_ca.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,976 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memcmp_ca.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,976 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strncmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,976 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memchr_ca.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,976 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strcspn.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,977 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memchr.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,977 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memccpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,977 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,977 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memcpy_ca.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,977 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/strpbrk.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,978 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,978 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/i960/memcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/i960 2024-01-19 02:41:22,978 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,978 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,978 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,979 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-bs-norm.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,979 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,979 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,979 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,979 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-bs-arc600.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,980 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,980 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,980 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memset.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,980 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-bs.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,980 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,980 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,981 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-bs.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,981 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-bs.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,981 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-bs-norm.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,981 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,981 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp-bs-norm.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,982 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-archs.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,982 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,982 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/asm.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,982 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,982 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-archs.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,983 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,983 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-bs.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,983 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,983 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,983 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,984 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,984 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp-archs.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,984 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy-bs.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,984 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,984 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-bs.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arc 2024-01-19 02:41:22,985 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,985 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fscanf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,985 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fsetpos.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,985 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memcpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,985 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fclose.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,986 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vfiprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,986 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcspn_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,986 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,986 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/write_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,986 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/stack_reg_va.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,986 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/read_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,987 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/rename.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,987 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fgetpos.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,987 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fdopen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,987 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/pread_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,987 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu-gmon.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,988 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/setvbuf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,988 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sscanf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,988 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/gets.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,988 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/snprintf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,988 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_clock_stop.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,989 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fputc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,989 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strpbrk_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,989 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strpbrk.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,989 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/perror.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,989 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/siprintf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,990 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/realloc_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,990 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_slih_reg.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,990 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strlen_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,990 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vsiscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,990 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,991 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vfiscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,991 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memmove.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,991 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fread.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,991 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sniprintf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,991 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcat.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,992 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/clearerr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,992 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strlen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,992 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strstr_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,992 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fiprintf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,992 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/mk_syscalls -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,992 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strspn_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,993 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/tmpfile.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,993 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-01-19 02:41:22,993 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/include/ea.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-01-19 02:41:22,993 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/include/spu_timer.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-01-19 02:41:22,993 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/include/fenv.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/include 2024-01-19 02:41:22,994 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strncat_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,994 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strrchr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,994 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,994 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vsnprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,994 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memset_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,995 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/ferror.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,995 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_stop.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,995 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu-mcount.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,995 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/feof.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,995 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_free.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,996 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/munmap_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,996 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fseek.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,996 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fwrite.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,996 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/printf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,996 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/getchar.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,996 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strchr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,997 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/mremap_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,997 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strrchr_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,997 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fgets.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,997 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fflush.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,997 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_svcs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,998 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcat_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,998 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,998 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fgetc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,998 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/readv_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,998 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_slih.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,999 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcmp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,999 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_flih.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,999 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/free_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,999 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/pwrite_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:22,999 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/assert.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,000 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/calloc_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,000 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/writev_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,000 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vsprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,000 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fputs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,001 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fprintf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,001 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fopen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,001 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memcpy_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,001 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcspn.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,001 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vfprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,002 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/rewind.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,002 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memcmp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,002 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sprintf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,002 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_clock_svcs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,002 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/putc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,003 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/machine 2024-01-19 02:41:23,003 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/machine/_types.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/machine 2024-01-19 02:41:23,003 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/scanf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,003 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/setbuf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,003 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_internal.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,004 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memmove_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,004 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,004 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/iprintf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,004 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strncat.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,004 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,005 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/tmpnam.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,005 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/ftell.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,005 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,005 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strxfrm.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,005 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/creat.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,006 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/puts.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,006 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strchr_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,006 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vec_literal.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,006 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,006 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strcmp_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,007 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strncpy_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,007 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/siscanf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,007 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vfscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,007 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/msync_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,007 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sleep.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,008 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,008 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/viscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,008 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strncpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,008 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/putchar.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,008 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/mmap_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,009 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memset.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,009 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/malloc_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,009 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/syscall.def -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,009 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vsscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,009 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/ungetc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,010 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/straddr.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,010 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/c99ppe.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,010 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vsniprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,010 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memcmp_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,010 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/remove.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,011 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/strspn.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,011 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/viprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,011 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fiscanf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,011 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/ea_internal.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,011 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 02:41:23,012 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/uio.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 02:41:23,012 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/syscall.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 02:41:23,012 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/utime.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 02:41:23,012 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/sched.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 02:41:23,012 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/dirent.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 02:41:23,013 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/mman.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 02:41:23,013 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/custom_file.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 02:41:23,013 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/errno.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 02:41:23,013 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/fenv.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 02:41:23,013 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/linux_syscalls.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu/sys 2024-01-19 02:41:23,014 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/vsiprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,014 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/iscanf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,014 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/posix_memalign_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,014 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/fileno.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,014 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/stdio.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,015 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timebase.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,015 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/freopen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,015 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/memchr_ea.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,015 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/getc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,015 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/spu/usleep.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/spu 2024-01-19 02:41:23,016 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,016 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,016 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,016 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,016 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memmove.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,017 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,017 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/acle-compat.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,017 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/bzero.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,017 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,017 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,018 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv7m.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,018 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memset.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,018 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-arm-tiny.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,018 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv6.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,018 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy-armv7m.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,019 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/aeabi_memcpy-armv7a.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,019 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-armv7.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,019 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv4.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,019 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 02:41:23,019 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/param.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 02:41:23,020 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/_endian.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 02:41:23,020 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 02:41:23,020 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/acle-compat.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 02:41:23,020 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/math.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 02:41:23,020 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/machine 2024-01-19 02:41:23,021 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-arm.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,021 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy-armv7a.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,021 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv7.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,021 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,021 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-thumb2.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,022 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memchr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,022 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memchr.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,022 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,022 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv4t.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,022 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memset.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,023 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-thumb2-Os.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,023 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,023 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/aeabi_memset.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,023 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-thumb1-Os.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,023 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/arm_asm.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,024 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv6m.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,024 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-01-19 02:41:23,024 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-01-19 02:41:23,024 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-01-19 02:41:23,024 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/fenv.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm/sys 2024-01-19 02:41:23,025 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-thumb.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/arm 2024-01-19 02:41:23,025 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cr16 2024-01-19 02:41:23,025 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/getenv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cr16 2024-01-19 02:41:23,025 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cr16 2024-01-19 02:41:23,025 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-01-19 02:41:23,025 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/syscall.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-01-19 02:41:23,026 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/asm.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-01-19 02:41:23,026 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/libh.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys 2024-01-19 02:41:23,026 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m88k 2024-01-19 02:41:23,026 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m88k/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m88k 2024-01-19 02:41:23,026 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/or1k 2024-01-19 02:41:23,027 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/or1k/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/or1k 2024-01-19 02:41:23,027 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mt 2024-01-19 02:41:23,027 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mt/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mt 2024-01-19 02:41:23,027 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m32r 2024-01-19 02:41:23,027 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m32r/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m32r 2024-01-19 02:41:23,028 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/lm32 2024-01-19 02:41:23,028 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/lm32/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/lm32 2024-01-19 02:41:23,028 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/fr30 2024-01-19 02:41:23,028 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/fr30/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/fr30 2024-01-19 02:41:23,028 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/iq2000 2024-01-19 02:41:23,028 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/iq2000/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/iq2000 2024-01-19 02:41:23,029 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:23,029 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/calloc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:23,029 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/mstats.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:23,029 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/realloc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:23,029 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/msize.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:23,030 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/tiny-malloc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:23,030 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/mallocr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:23,030 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/reallocr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:23,030 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:23,030 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/valloc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:23,031 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/freer.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:23,031 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/cfree.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:23,031 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/malign.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:23,031 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/callocr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:23,031 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/malloc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xstormy16 2024-01-19 02:41:23,032 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,032 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp-64.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,032 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,032 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/x86_64mach.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,032 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp-32.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,032 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,033 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,033 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr-32.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,033 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,033 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,033 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memset.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,034 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove-32.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,034 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memset-64.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,034 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,034 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr-32.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,034 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,035 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/i386mach.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,035 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp-32.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,035 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,035 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-01-19 02:41:23,035 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/fastmath.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-01-19 02:41:23,036 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-01-19 02:41:23,036 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/machine 2024-01-19 02:41:23,036 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,036 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,036 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memset-32.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,036 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy-64.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,037 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,037 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,037 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen-32.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,037 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,037 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy-32.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,038 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-01-19 02:41:23,038 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-01-19 02:41:23,038 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-01-19 02:41:23,038 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/fenv.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86/sys 2024-01-19 02:41:23,038 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/x86 2024-01-19 02:41:23,039 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/epiphany 2024-01-19 02:41:23,039 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/epiphany/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/epiphany 2024-01-19 02:41:23,039 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10200 2024-01-19 02:41:23,039 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10200/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10200 2024-01-19 02:41:23,039 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/d30v 2024-01-19 02:41:23,039 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/d30v/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/d30v 2024-01-19 02:41:23,040 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:23,040 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/strcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:23,040 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/memmove.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:23,040 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/strncat.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:23,040 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/strcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:23,041 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/strlen.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:23,041 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/memset.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:23,041 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/strncpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:23,041 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/strncmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:23,041 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/strcat.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:23,042 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/mempcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:23,042 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/memchr.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:23,042 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:23,042 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/rx/memcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/rx 2024-01-19 02:41:23,042 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m32c 2024-01-19 02:41:23,042 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m32c/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m32c 2024-01-19 02:41:23,043 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/tic4x 2024-01-19 02:41:23,043 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic4x/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/tic4x 2024-01-19 02:41:23,043 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/tic6x 2024-01-19 02:41:23,043 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/tic6x/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/tic6x 2024-01-19 02:41:23,043 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 02:41:23,044 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/mulsi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 02:41:23,044 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/shifts.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 02:41:23,044 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/negsi2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 02:41:23,044 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/cmpsi.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 02:41:23,044 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/divsi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 02:41:23,045 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 02:41:23,045 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/mulhi3.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 02:41:23,045 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/psi.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 02:41:23,045 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8500/divhi3.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8500 2024-01-19 02:41:23,045 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 02:41:23,045 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/strcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 02:41:23,046 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 02:41:23,046 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/strlen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 02:41:23,046 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/memset.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 02:41:23,046 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 02:41:23,046 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 02:41:23,047 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/fenv-softfloat.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 02:41:23,047 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/fenv-fp.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 02:41:23,047 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 02:41:23,047 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/asm.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 02:41:23,047 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/regdef.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/machine 2024-01-19 02:41:23,048 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 02:41:23,048 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 02:41:23,048 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/strncpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 02:41:23,048 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/memcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips 2024-01-19 02:41:23,049 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-01-19 02:41:23,049 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-01-19 02:41:23,049 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-01-19 02:41:23,049 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/fenv.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mips/sys 2024-01-19 02:41:23,049 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68hc11 2024-01-19 02:41:23,049 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68hc11/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68hc11 2024-01-19 02:41:23,050 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/powerpc 2024-01-19 02:41:23,050 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/machine 2024-01-19 02:41:23,050 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/machine/fenv-fp.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/machine 2024-01-19 02:41:23,050 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/powerpc 2024-01-19 02:41:23,050 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/powerpc 2024-01-19 02:41:23,051 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/sys 2024-01-19 02:41:23,051 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/sys/fenv.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/powerpc/sys 2024-01-19 02:41:23,051 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-01-19 02:41:23,051 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xc16x/puts.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-01-19 02:41:23,051 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xc16x/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-01-19 02:41:23,052 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/xc16x/putchar.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/xc16x 2024-01-19 02:41:23,052 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/csky 2024-01-19 02:41:23,052 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/csky/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/csky 2024-01-19 02:41:23,052 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/csky/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/csky 2024-01-19 02:41:23,052 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 02:41:23,052 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/signal.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 02:41:23,053 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/exit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 02:41:23,053 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/atexit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 02:41:23,053 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/getreent.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 02:41:23,053 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/abort.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 02:41:23,053 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/exit-value.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 02:41:23,054 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/mlock.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/amdgcn 2024-01-19 02:41:23,054 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 02:41:23,054 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k/m68kasm.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 02:41:23,054 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k/strlen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 02:41:23,054 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k/memset.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 02:41:23,055 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 02:41:23,055 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k/strcpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 02:41:23,055 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 02:41:23,055 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/m68k/memcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/m68k 2024-01-19 02:41:23,055 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:23,055 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/memcpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:23,056 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/memmove.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:23,056 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/strcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:23,056 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:23,056 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/ieeefp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:23,056 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/strlen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:23,057 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/memset.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:23,057 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/memmove-stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:23,057 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-01-19 02:41:23,057 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-01-19 02:41:23,057 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/math.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-01-19 02:41:23,058 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine 2024-01-19 02:41:23,058 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:23,058 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/strcpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:23,058 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/memcpy-asm.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:23,058 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv 2024-01-19 02:41:23,059 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 02:41:23,059 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 02:41:23,059 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/string.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 02:41:23,059 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 02:41:23,059 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/asm.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 02:41:23,059 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/fenv.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys 2024-01-19 02:41:23,060 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:23,060 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:23,060 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncat.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:23,060 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:23,061 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/pcc_prefix.s -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:23,061 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/strlen.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:23,061 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/memset.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:23,061 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/DEFS.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:23,061 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:23,062 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:23,062 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcat.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:23,062 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/memchr.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:23,062 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:23,062 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/memcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:23,062 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/hppa/memcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/hppa 2024-01-19 02:41:23,063 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 02:41:23,063 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/strcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 02:41:23,063 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/strcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 02:41:23,063 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/strlen.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 02:41:23,063 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/memset.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 02:41:23,064 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/strncpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 02:41:23,064 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/asm.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 02:41:23,064 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 02:41:23,064 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sh/memcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sh 2024-01-19 02:41:23,064 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 02:41:23,065 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 02:41:23,065 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 02:41:23,065 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strlen.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 02:41:23,065 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memset.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 02:41:23,065 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strchr.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 02:41:23,066 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memchr.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 02:41:23,066 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 02:41:23,066 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 02:41:23,066 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/mn10300 2024-01-19 02:41:23,066 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:23,066 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/calloc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:23,067 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/exit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:23,067 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/realloc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:23,067 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/free.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:23,067 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/abort.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:23,067 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/misc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:23,068 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/clock.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:23,068 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/assert.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:23,068 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/mallocr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:23,068 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/write.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:23,068 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/reallocr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:23,069 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/puts.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:23,069 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/putchar.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:23,069 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/printf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:23,069 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/callocr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:23,069 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/nvptx/malloc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/nvptx 2024-01-19 02:41:23,070 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/v850 2024-01-19 02:41:23,070 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/v850/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/v850 2024-01-19 02:41:23,070 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 02:41:23,070 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 02:41:23,070 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/scan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 02:41:23,070 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-01-19 02:41:23,071 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-01-19 02:41:23,071 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/sparclet.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-01-19 02:41:23,071 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine 2024-01-19 02:41:23,071 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 02:41:23,071 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 02:41:23,072 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/shuffle.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc 2024-01-19 02:41:23,072 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-01-19 02:41:23,072 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-01-19 02:41:23,072 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-01-19 02:41:23,072 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/fenv.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys 2024-01-19 02:41:23,073 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 02:41:23,073 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/strcmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 02:41:23,073 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/setarch.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 02:41:23,073 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/memset.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 02:41:23,073 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/h8sx_strcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 02:41:23,073 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/reg_memset.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 02:41:23,074 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/defines.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 02:41:23,074 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 02:41:23,074 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/memcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 02:41:23,074 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/h8300/reg_memcpy.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/h8300 2024-01-19 02:41:23,074 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/moxie 2024-01-19 02:41:23,075 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/moxie/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/moxie 2024-01-19 02:41:23,075 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/crx 2024-01-19 02:41:23,075 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/getenv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/crx 2024-01-19 02:41:23,075 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/crx 2024-01-19 02:41:23,075 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-01-19 02:41:23,076 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/syscall.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-01-19 02:41:23,076 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/asm.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-01-19 02:41:23,076 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/libh.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/crx/sys 2024-01-19 02:41:23,076 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/frv 2024-01-19 02:41:23,076 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/machine/frv/setjmp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/machine/frv 2024-01-19 02:41:23,077 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,077 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscat.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,077 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcslcpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,077 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/bcmp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,077 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memcpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,078 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsdup.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,078 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcpncpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,078 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/u_strerr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,078 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strlcat.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,078 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wmemmove.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,078 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/stpncpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,079 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsstr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,079 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcslcat.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,079 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strncmp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,079 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/mkunidata -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,079 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsncpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,080 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strupr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,080 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscspn.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,080 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strpbrk.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,080 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/ffsll.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,080 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/str-two-way.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,081 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wmemset.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,081 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strerror_r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,081 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memrchr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,081 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/bcopy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,081 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/stpcpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,082 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memmove.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,082 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strtok_r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,082 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,082 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memmem.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,082 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/bzero.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,083 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcat.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,083 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscmp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,083 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strlen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,083 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/mempcpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,083 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsncasecmp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,083 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsxfrm.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,084 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strrchr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,084 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strnlen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,084 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcstok.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,084 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcswidth.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,084 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsncmp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,085 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcstrings.tex -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,085 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsspn.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,085 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strtok.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,085 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/mkwidthA -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,085 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/timingsafe_memcmp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,086 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strerror.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,086 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcoll_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,086 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strdup_r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,086 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscasecmp_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,086 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/swab.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,087 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strchr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,087 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strncasecmp_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,087 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wmemcmp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,087 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsnlen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,087 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strdup.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,088 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wmemcpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,088 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcmp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,088 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcasecmp_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,088 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/ffsl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,088 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/gnu_basename.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,089 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strings.tex -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,089 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscoll_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,089 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsrchr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,089 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/mkwide -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,089 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strchrnul.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,089 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wmempcpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,090 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strnstr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,090 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/rawmemchr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,090 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcspn.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,090 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/rindex.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,090 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcasestr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,091 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strsep.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,091 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memcmp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,091 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/combining.t -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,091 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strndup.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,091 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsncat.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,092 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/uniset -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,092 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,092 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,092 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strlcpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,093 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strncat.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,093 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strncasecmp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,093 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsncasecmp_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,093 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memccpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,093 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strxfrm.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,094 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcpcpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,094 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memchr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,094 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strverscmp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,094 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strsignal.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,094 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strlwr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,095 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/flsl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,095 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcwidth.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,095 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strncpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,095 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/timingsafe_bcmp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,095 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/memset.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,096 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/WIDTH-A -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,096 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcspbrk.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,096 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/index.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,096 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcasecmp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,096 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strstr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,097 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscasecmp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,097 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/explicit_bzero.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,097 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strspn.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,097 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wmemchr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,097 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/fls.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,098 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strcoll.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,098 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strndup_r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,098 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcslen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,099 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcsxfrm_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,099 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscoll.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,099 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/xpg_strerror_r.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,099 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/ambiguous.t -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,099 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/local.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,100 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/flsll.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,100 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/strxfrm_l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,100 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wide.t -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,100 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcscpy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,100 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/string/wcschr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/string 2024-01-19 02:41:23,101 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc 2024-01-19 02:41:23,101 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/reent 2024-01-19 02:41:23,101 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/reent/reent.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/reent 2024-01-19 02:41:23,101 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/reent/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/reent 2024-01-19 02:41:23,101 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/reent/reent.tex -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/reent 2024-01-19 02:41:23,102 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 02:41:23,102 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/signal/signal.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 02:41:23,102 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/signal/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 02:41:23,102 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/signal/signal.tex -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 02:41:23,102 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/signal/raise.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 02:41:23,102 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/signal/psignal.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 02:41:23,103 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/signal/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 02:41:23,103 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/signal/sig2str.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/signal 2024-01-19 02:41:23,103 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/errno 2024-01-19 02:41:23,103 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/errno/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/errno 2024-01-19 02:41:23,103 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/errno/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/errno 2024-01-19 02:41:23,104 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/errno/errno.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/errno 2024-01-19 02:41:23,104 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 02:41:23,104 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/unctrl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 02:41:23,104 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 02:41:23,104 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/__dprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 02:41:23,105 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/ffs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 02:41:23,105 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 02:41:23,105 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/fini.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 02:41:23,105 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/misc.tex -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 02:41:23,105 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/lock.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 02:41:23,106 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/misc/init.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/misc 2024-01-19 02:41:23,106 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,106 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/asprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,106 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fsetpos.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,106 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putc_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,107 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_i.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,107 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fclose.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,107 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfieeefp.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,107 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfiprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,107 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getdelim.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,108 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fseeko.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,108 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,108 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgetwc_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,108 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_local.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,108 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputwc_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,109 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/rename.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,109 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgetpos.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,109 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fdopen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,109 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vdprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,109 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfwprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,110 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputws_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,110 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/setvbuf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,110 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/open_memstream.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,110 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/gets.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,111 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/siprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,111 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fsetlocking.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,111 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-svfprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,111 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/asiprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,111 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/refill.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,111 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,112 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/perror.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,112 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fcloseall.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,112 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/clearerr_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,112 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-svfscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,112 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vdiprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,113 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vsiscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,113 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/setbuffer.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,113 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfiscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,113 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgetwc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,113 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fiscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,114 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgetws.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,114 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fread.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,114 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getc_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,114 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fwprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,114 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fflush_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,115 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgetws_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,115 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_float.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,115 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/wprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,115 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfiwscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,115 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/clearerr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,116 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/iprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,116 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,116 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfwscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,116 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/tmpfile.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,116 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sniprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,116 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/stdio.tex -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,117 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgets_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,117 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vsnprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,117 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/ferror.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,117 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vasniprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,117 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getwc_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,118 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/snprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,118 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,118 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/siscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,118 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/feof.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,118 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vasnprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,119 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fseek.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,119 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfwprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,119 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fwrite.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,119 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getchar.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,119 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fwide.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,120 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgets.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,120 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fflush.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,120 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/ferror_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,120 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgetc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,120 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfiwscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,121 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vwprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,121 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/flags.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,121 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_float.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,121 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getwc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,121 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/ungetwc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,122 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vswscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,122 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/makebuf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,122 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/floatio.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,122 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putw.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,122 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/swprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,123 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getwchar.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,123 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putwc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,123 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfiwprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,123 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/stdio.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,124 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,124 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/funopen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,124 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vsprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,124 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fpurge.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,125 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,125 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putchar_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,125 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfiwprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,125 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fwscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,125 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fopen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,126 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vwscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,126 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vswprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,126 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_i.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,126 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,126 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vasprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,127 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putwc_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,127 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/rewind.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,127 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fvwrite.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,127 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/swscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,128 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,128 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/mktemp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,128 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfiprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,128 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,128 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fvwrite.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,129 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/asniprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,129 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/setlinebuf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,129 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/setbuf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,129 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,129 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputc_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,130 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,130 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/findfp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,130 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/diprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,130 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/tmpnam.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,130 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputs_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,131 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fread_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,131 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/wbuf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,131 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/ftell.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,131 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,131 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputws.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,131 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getwchar_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,132 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sccl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,132 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_local.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,132 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/puts.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,132 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/ftello.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,132 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/stdio_ext.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,133 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fileno_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,133 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/wsetup.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,133 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getline.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,133 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/iscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,133 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fiprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,134 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,134 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fwalk.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,134 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/stdio_ext.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,134 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/viscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,134 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putchar.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,135 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vasiprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,135 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vsscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,135 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/printf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,135 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getw.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,135 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/ungetc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,136 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getchar_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,136 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fputwc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,136 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fmemopen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,136 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vsniprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,137 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/remove.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,137 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putwchar_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,137 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fwrite_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,137 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,137 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/rget.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,138 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/viprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,138 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/asnprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,138 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/svfiscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,138 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio/sys 2024-01-19 02:41:23,138 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sys/stdio.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio/sys 2024-01-19 02:41:23,138 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sys/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio/sys 2024-01-19 02:41:23,139 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vsiprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,139 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fopencookie.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,139 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/feof_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,139 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/local.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,140 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/sscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,140 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/wscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,140 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/dprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,140 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/putwchar.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,140 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fileno.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,141 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/stdio.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,141 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,141 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/fgetc_u.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,141 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/freopen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,141 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/vfwscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,142 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/scanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,142 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/stdio/getc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/stdio 2024-01-19 02:41:23,142 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:23,142 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_mem.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:23,143 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:23,143 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_private.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:23,143 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_array.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:23,143 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_sizeof.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:23,143 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_private.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:23,143 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_float.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:23,144 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_stdio.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:23,144 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:23,144 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:23,144 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_rec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:23,145 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/README -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:23,145 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_reference.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:23,145 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/xdr/xdr_float_vax.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/xdr 2024-01-19 02:41:23,145 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/sys 2024-01-19 02:41:23,145 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/sys/rtems 2024-01-19 02:41:23,146 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include 2024-01-19 02:41:23,146 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/poll.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include 2024-01-19 02:41:23,146 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/README -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include 2024-01-19 02:41:23,146 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/sys 2024-01-19 02:41:23,146 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/sys/poll.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/sys 2024-01-19 02:41:23,146 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:23,147 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/lctype.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:23,147 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/uselocale.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:23,147 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/nl_langinfo.3 -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:23,147 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/locale.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:23,147 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:23,148 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/lnumeric.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:23,148 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/freelocale.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:23,148 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/locale.tex -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:23,148 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/setlocale.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:23,148 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/duplocale.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:23,149 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:23,149 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/lmessages.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:23,149 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/newlocale.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:23,149 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/localeconv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:23,149 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/lmonetary.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:23,150 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/nl_langinfo.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:23,150 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/locale/timelocal.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/locale 2024-01-19 02:41:23,150 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/libc.in.xml -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc 2024-01-19 02:41:23,150 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 02:41:23,150 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 02:41:23,151 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/dso_handle.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 02:41:23,151 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/picosbrk.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 02:41:23,151 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/inittls.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 02:41:23,151 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine 2024-01-19 02:41:23,151 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-01-19 02:41:23,151 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-01-19 02:41:23,152 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/tls.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-01-19 02:41:23,152 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa 2024-01-19 02:41:23,152 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine 2024-01-19 02:41:23,152 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64 2024-01-19 02:41:23,152 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64/tls.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64 2024-01-19 02:41:23,153 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64 2024-01-19 02:41:23,153 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-01-19 02:41:23,153 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-01-19 02:41:23,153 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/tls.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-01-19 02:41:23,153 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc 2024-01-19 02:41:23,154 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 02:41:23,154 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/read_tp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 02:41:23,154 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 02:41:23,154 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/interrupt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 02:41:23,154 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/tls.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 02:41:23,154 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 02:41:23,155 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/arm_tls.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm 2024-01-19 02:41:23,155 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-01-19 02:41:23,155 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-01-19 02:41:23,155 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/tls.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-01-19 02:41:23,155 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv 2024-01-19 02:41:23,156 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 02:41:23,156 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libc/picolib/getauxval.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libc/picolib 2024-01-19 02:41:23,156 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/empty.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:23,156 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/newlib.hin -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:23,156 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/man.xsl -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:23,157 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/doc 2024-01-19 02:41:23,157 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/doc/chapter-texi2docbook.py -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/doc 2024-01-19 02:41:23,157 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/doc/doc.str -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/doc 2024-01-19 02:41:23,157 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/doc/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/doc 2024-01-19 02:41:23,157 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/doc/makedocbook.py -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/doc 2024-01-19 02:41:23,158 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite 2024-01-19 02:41:23,158 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-01-19 02:41:23,158 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/locale.exp -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-01-19 02:41:23,158 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/UTF-8.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-01-19 02:41:23,158 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/UTF-8.exp -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-01-19 02:41:23,159 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.locale 2024-01-19 02:41:23,159 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/include 2024-01-19 02:41:23,159 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/include/check.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/include 2024-01-19 02:41:23,159 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 02:41:23,159 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/atexit.exp -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 02:41:23,160 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/stdlib.exp -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 02:41:23,160 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/atexit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 02:41:23,160 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/size_max.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 02:41:23,160 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib 2024-01-19 02:41:23,160 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 02:41:23,160 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvjp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 02:41:23,161 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconv.exp -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 02:41:23,161 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvru.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 02:41:23,161 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 02:41:23,161 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvnm.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv 2024-01-19 02:41:23,162 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix 2024-01-19 02:41:23,162 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix/elix.exp -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix 2024-01-19 02:41:23,162 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix/tmmap.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.elix 2024-01-19 02:41:23,162 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-01-19 02:41:23,162 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/swprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-01-19 02:41:23,162 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/stdio.exp -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-01-19 02:41:23,163 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-01-19 02:41:23,163 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/nulprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio 2024-01-19 02:41:23,163 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/config 2024-01-19 02:41:23,163 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/config/default.exp -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/config 2024-01-19 02:41:23,163 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-01-19 02:41:23,163 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.time/time.exp -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-01-19 02:41:23,164 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.time/tzset.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-01-19 02:41:23,164 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.time/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.time 2024-01-19 02:41:23,164 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-01-19 02:41:23,164 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.search/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-01-19 02:41:23,164 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.search/hsearchtest.exp -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-01-19 02:41:23,165 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.search/hsearchtest.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.search 2024-01-19 02:41:23,165 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 02:41:23,165 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string/memmove1.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 02:41:23,165 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string/memcpy-1.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 02:41:23,165 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string/string.exp -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 02:41:23,166 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string/tstring.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 02:41:23,166 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 02:41:23,166 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.string/strcmp-1.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.string 2024-01-19 02:41:23,166 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/stdio-bits.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite 2024-01-19 02:41:23,166 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite 2024-01-19 02:41:23,167 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 02:41:23,167 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/twctrans.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 02:41:23,167 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/wctype.exp -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 02:41:23,167 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/tiswctype.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 02:41:23,167 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 02:41:23,168 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/twctype.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype 2024-01-19 02:41:23,168 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-01-19 02:41:23,168 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib/flags.exp -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-01-19 02:41:23,168 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib/checkoutput.exp -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-01-19 02:41:23,168 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib/passfail.exp -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-01-19 02:41:23,168 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/testsuite/lib/newlib.exp -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/testsuite/lib 2024-01-19 02:41:23,169 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/HOWTO -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:23,169 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:23,169 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/README -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:23,169 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/ChangeLog-2015 -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:23,171 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/refcontainers.xslt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:23,171 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm 2024-01-19 02:41:23,171 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,172 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/asin_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,172 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/log_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,172 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/j0_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,172 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/atanh_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,173 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/test.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,173 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sinf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,173 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/scalbn_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,173 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/acosf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,174 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/hypot_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,174 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/log1pf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,174 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/truncf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,174 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/tanh_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,175 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/acos_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,175 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/atanf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,175 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sprint_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,176 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/fabs_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,176 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/acosh_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,176 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/log10f_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,176 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/cos_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,177 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/dvec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,177 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/expf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,177 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sqrtf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,177 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sinhf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,178 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/erff_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,178 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/log1p_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,178 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/jn_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,178 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/log2f_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,179 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/erf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,179 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/acoshf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,179 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/j1_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,179 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/pow_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,180 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/fabsf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,180 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/atan_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,180 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/logf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,180 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/tan_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,181 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/gammaf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,181 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/floorf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,181 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/yn_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,181 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/y1f_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,182 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/test_ieee.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,182 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/y1_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,182 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sprint_ivec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,183 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/trunc_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,183 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/ceilf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,183 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sin_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,183 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/dcvt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,183 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/cosh_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,184 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sinh_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,184 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/fmod_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,184 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/atan2f_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,185 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/issignaling_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,185 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/y0_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,185 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/coshf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,185 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/log10_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,186 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/atan2_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,186 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/sqrt_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,186 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/exp_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,187 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/jnf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,187 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/convert.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,187 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/powf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,187 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/modf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,188 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/modff_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,188 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,188 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/atanhf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,188 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/j0f_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,189 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/iconv_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,189 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/tanhf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,189 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/erfc_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,189 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/y0f_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,190 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/test.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,190 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/floor_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,190 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/gamma_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,190 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/scalb_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,191 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/copysignf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,191 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/copysign_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,191 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/tanf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,191 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/string.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,191 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/asinf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,192 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/conv_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,192 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/asinhf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,192 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/ynf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,193 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/fmodf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,193 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/test_is.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,193 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/math.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,193 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/erfcf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,194 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/j1f_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,194 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/ceil_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,194 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/cosf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,194 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/hypotf_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,195 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/log2_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,195 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/math2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,195 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/test/asinh_vec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/test 2024-01-19 02:41:23,195 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm 2024-01-19 02:41:23,195 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/libm.in.xml -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm 2024-01-19 02:41:23,196 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,196 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/clogl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,196 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cabs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,196 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csqrt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,196 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cephes_subr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,197 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/clog.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,197 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/conjl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,197 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/casinhf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,197 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ctanh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,198 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/creall.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,198 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/conj.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,198 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cabsf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,198 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cexp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,198 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/casinf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,199 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ccoshf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,199 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/casinh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,199 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cimagf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,199 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/catanhl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,199 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cimag.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,200 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csinf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,200 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/casinl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,200 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/conjf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,200 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cexpl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,200 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cpow.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,201 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cacoshl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,201 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cargf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,201 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ccoshl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,201 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ccos.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,201 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cacosl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,202 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csqrtl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,202 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/clog10.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,202 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csin.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,202 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csinh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,202 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cimagl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,202 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cacos.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,203 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cexpf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,203 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/catanhf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,203 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ctanhl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,203 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cproj.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,203 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,204 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/complex.tex -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,204 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/catanh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,204 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cprojf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,204 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,204 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ccosh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,205 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csinhf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,205 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/clogf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,205 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/crealf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,205 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ctanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,205 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csqrtf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,206 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cephes_subr.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,206 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/catanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,206 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cabsl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,206 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/creal.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,206 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cacosf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,206 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/casin.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,207 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,207 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ctan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,207 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ctanl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,207 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cpowl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,207 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cargl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,208 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cacosh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,208 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/clog10f.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,208 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ccosf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,208 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/clog10l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,208 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csinhl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,209 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/catan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,209 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/carg.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,209 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,209 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cpowf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,209 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ccosl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,210 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/catanl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,210 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/ctanhf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,210 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrl.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,210 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cacoshf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,210 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/casinhl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,211 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/cprojl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,211 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/complex/csinl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/complex 2024-01-19 02:41:23,211 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,211 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_sinh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,211 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_log.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,212 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_fmod.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,212 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_atan2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,212 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_signif.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,212 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_rem_pio2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,212 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_frexp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,213 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_jn.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,213 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_atanh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,213 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_tanh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,213 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_sincos.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,213 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_cos.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,213 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_sqrt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,214 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_atanh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,214 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_sqrt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,214 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_fmod.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,214 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_signif.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,214 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_j0.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,215 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,215 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/srf_lgamma.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,215 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_ceil.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,215 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_tgamma.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,215 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_lgamma.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,216 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_cosh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,216 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_exp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,216 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_gamma.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,216 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_log2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,216 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_log10.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,217 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_rem_pio2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,217 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_sinh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,217 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_cosh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,217 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_exp2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,217 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_drem.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,218 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/kf_tan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,218 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_scalb.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,218 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_atan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,218 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_jn.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,218 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_j1.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,219 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_scalb.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,219 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_asinh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,219 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_fabs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,219 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_asinh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,219 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_exp2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,220 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_drem.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,220 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_remainder.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,220 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_frexp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,220 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_acos.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,220 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/kf_rem_pio2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,221 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_sin.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,221 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/k_rem_pio2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,221 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_remainder.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,221 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_acosh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,221 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_log10.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,222 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_ceil.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,222 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_asin.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,222 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_atan2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,222 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_pow.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,222 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_gamma.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,223 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_acosh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,223 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_tgamma.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,223 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_pow.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,223 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_erf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,224 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/kf_sin.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,224 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_hypot.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,224 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/k_sin.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,224 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_atan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,224 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,225 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_j1.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,225 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/k_tan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,225 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/k_cos.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,225 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sr_lgamma.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,226 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sl_hypot.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,226 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/math.tex -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,226 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_cos.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,226 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_asin.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,226 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_erf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,227 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_log.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,227 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_acos.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,227 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/kf_cos.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,227 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_sincos.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,227 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_sin.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,228 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_tanh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,228 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_lgamma.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,228 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_tan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,228 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_j0.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,228 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_hypot.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,229 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_fabs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,229 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_exp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,229 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_floor.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,229 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/sf_floor.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,229 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/math/s_tan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/math 2024-01-19 02:41:23,230 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine 2024-01-19 02:41:23,230 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 02:41:23,230 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/fpclassify.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 02:41:23,230 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isfinite.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 02:41:23,230 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isinff.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 02:41:23,230 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isnormalf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 02:41:23,231 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isfinitef.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 02:41:23,231 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isinf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 02:41:23,231 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isnanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 02:41:23,231 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isnan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 02:41:23,231 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/isnormal.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 02:41:23,232 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/pru/fpclassifyf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/pru 2024-01-19 02:41:23,232 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:23,232 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feenableexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:23,232 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetenv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:23,232 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feraiseexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:23,233 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetexceptflag.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:23,233 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetexceptflag.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:23,233 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetenv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:23,233 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:23,233 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:23,233 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetround.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:23,234 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fetestexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:23,234 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feupdateenv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:23,234 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetround.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:23,234 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fedisableexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:23,234 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feclearexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:23,235 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:23,235 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feholdexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/xtensa 2024-01-19 02:41:23,235 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/nds32 2024-01-19 02:41:23,235 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/nds32/w_sqrt.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/nds32 2024-01-19 02:41:23,235 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/nds32/wf_sqrt.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/nds32 2024-01-19 02:41:23,236 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine 2024-01-19 02:41:23,236 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,236 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/fenv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,236 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_lrint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,236 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_lround.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,236 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fmax.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,237 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_sqrt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,237 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_sqrt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,237 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_rint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,237 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_llrint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,237 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_rint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,238 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,238 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_ceil.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,238 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_llround.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,238 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fmax.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,238 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_round.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,239 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_lround.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,239 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_trunc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,239 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fabs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,239 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fma.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,239 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_ceil.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,240 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fmin.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,240 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_trunc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,240 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_nearbyint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,240 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_nearbyint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,240 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_round.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,240 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,241 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_llrint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,241 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_lrint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,241 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fmin.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,241 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fabs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,241 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_floor.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,242 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_floor.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,242 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_llround.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,242 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fma.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/aarch64 2024-01-19 02:41:23,242 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,242 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_log1p.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,243 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sincos.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,243 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_log.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,243 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_exp2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,243 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_lrint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,243 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isinff.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,244 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_exp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,244 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_pow.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,244 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isnan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,244 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_frexp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,244 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_lround.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,245 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fmax.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,245 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_tanh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,245 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_cos.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,245 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_pow.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,245 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_fmod.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,245 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_remquo.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,246 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nextafter.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,246 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_tgamma.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,246 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_rint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,246 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_rint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,246 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_lgamma.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,247 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ceil.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,247 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_scalbn.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,247 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_hypot.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,247 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_log10.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,247 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_cbrt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,248 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_asin.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,248 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fmax.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,248 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_round.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,248 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_log1p.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,248 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_atan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,248 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_lround.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,249 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_trunc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,249 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_asinh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,249 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_acos.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,249 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fabs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,249 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_expm1.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,250 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_exp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,250 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_asinh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,250 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_isnan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,250 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ilogb.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,251 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,251 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/recipf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,251 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floor.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,251 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sin.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,251 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,251 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,252 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fdimf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,252 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanh.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,252 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetround.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,252 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,252 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lrintf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,253 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2f.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,253 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,253 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosd2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,253 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ceilf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,253 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,254 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fma.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,254 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincos.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,254 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remquof.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,254 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/copysign.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,254 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ceil.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,255 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logd2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,255 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,255 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,255 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ilogbf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,255 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2f4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,256 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lroundf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,256 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,256 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosd2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,256 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmaf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,256 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,257 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,257 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnand2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,257 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotd2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,257 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cbrt.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,257 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10f.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,258 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remainderf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,258 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2d2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,258 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expd2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,258 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lround.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,258 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetexceptflag.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,259 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,259 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floord2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,259 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyintf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,259 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llrint.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,259 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosh.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,260 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypot.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,260 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinh.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,260 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cos_sin.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,260 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,260 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floorf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,261 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammaf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,261 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshd2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,261 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/recipd2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,261 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/roundf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,261 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrt.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,262 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tan.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,262 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammaf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,262 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cos.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,262 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asin.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,262 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,263 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,263 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmodf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,263 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/signbitd2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,263 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,263 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,264 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,264 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erff.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,264 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asind2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,264 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pd2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,264 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,265 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkf_less_than.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,265 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fetestexcept.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,265 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexp.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,265 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,265 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1f4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,266 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncd2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,266 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feupdateenv.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,266 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,266 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fminf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,266 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1p.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,267 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2d2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,267 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanh.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,267 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remainder.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,267 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnan.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,268 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tand2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,268 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmin.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,268 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fabsf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,268 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,268 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,269 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,269 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammaf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,269 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2d2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,269 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floorf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,269 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,270 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atand2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,270 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,270 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fdim.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,270 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,270 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/trunc.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,271 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remquo.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,271 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,271 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ilogb.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,271 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,271 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,272 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,272 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,272 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgamma.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,272 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,272 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetenv.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,273 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logbf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,273 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhd2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,273 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinh.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,273 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acos.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,273 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llroundf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,274 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rintf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,274 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10d2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,274 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpd2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,274 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cbrtf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,274 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhd2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,275 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammad2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,275 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,275 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcd2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,275 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfd2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,276 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetenv.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,276 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammad2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,276 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyint.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,276 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2f4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,276 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/pow.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,277 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbn.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,277 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,277 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,307 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2f.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,308 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llrintf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,308 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnanf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,308 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feclearexcept.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,308 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyintf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,309 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fefpscr.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,309 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/divf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,309 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/frexpf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,309 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,309 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,310 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosh.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,310 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,310 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,310 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,310 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmod.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,311 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erf_utils.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,311 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feholdexcept.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,311 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,311 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,312 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/vec_literal.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,312 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/copysignf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,312 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfc.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,312 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,312 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fabs.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,313 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterd2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,313 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rint.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,313 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,313 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbnf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,313 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/divd2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,314 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lrint.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,314 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,314 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmax.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,314 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/simdmath.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,314 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,315 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkf_negone_one.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,315 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafter.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,315 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,315 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetround.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,315 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtd2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,316 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/signbit.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,316 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbnf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,316 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2f.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,316 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,316 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshd2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,317 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgamma.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,317 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/frexp.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,317 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powd2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,317 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,317 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erff4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,318 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,318 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,318 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rintf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,318 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmaxf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,319 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnanf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,319 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammaf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,319 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powf4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,319 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhd2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,319 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logbf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,320 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2f4.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,320 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sind2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,320 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,320 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkd_negone_one.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,320 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1d2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,321 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/round.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,321 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llround.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,321 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhd2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,321 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosd2.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,321 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,322 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1f.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,322 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feraiseexcept.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,322 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,322 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkd_less_than.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,322 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetexceptflag.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu/headers 2024-01-19 02:41:23,323 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_frexp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,323 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_tgamma.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,323 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_atanh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,323 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_sin.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,323 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fma.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,324 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_fmod.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,324 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ceil.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,324 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sqrt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,324 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fmin.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,324 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_trunc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,325 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nearbyint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,325 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fdim.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,325 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/llround.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,325 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_exp2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,325 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_copysign.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,326 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_nearbyint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,326 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_nextafter.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,326 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ldexp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,326 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ldexp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,326 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/llrintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,327 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sqrt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,327 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_copysign.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,327 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_cosh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,327 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_cbrt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,327 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_atan2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,328 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_atan2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,328 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_acosh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,328 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_remainder.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,328 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fpclassify.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,328 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_remquo.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,329 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_round.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,329 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_erf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,329 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sinh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,329 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/log2f.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,329 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_atan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,330 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_expm1.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,330 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sincos.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,330 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_remainder.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,330 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_cosh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,330 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/llrint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,331 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isinf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,331 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_finite.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,331 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_lgamma.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,331 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_cos.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,331 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_erf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,331 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_lrint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,332 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fmin.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,332 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_log.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,332 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_sin.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,332 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fdim.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,332 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_tanh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,333 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_scalbn.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,333 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sinh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,333 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_tan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,333 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_hypot.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,333 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ilogb.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,334 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fabs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,334 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_floor.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,334 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isnanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,334 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_atanh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,334 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_logb.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,335 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_asin.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,335 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,335 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_log10.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,335 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_floor.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,335 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_acosh.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,336 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/log2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,336 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/w_acos.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,336 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/llroundf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,336 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_tan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,336 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fma.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/spu 2024-01-19 02:41:23,337 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,337 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/feenableexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,337 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetenv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,337 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_fma_arm.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,337 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/feraiseexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,338 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetexceptflag.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,338 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_sqrt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,338 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetexceptflag.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,338 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetenv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,338 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_sqrt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,339 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_rint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,339 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_rint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,339 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,339 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,339 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_fma_arm.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,340 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_ceil.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,340 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetround.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,340 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_round.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,340 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_trunc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,340 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_fabs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,340 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fetestexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,341 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/feupdateenv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,341 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_ceil.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,341 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetround.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,341 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_trunc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,341 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_nearbyint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,342 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_nearbyint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,342 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/_fenv.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,342 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/fedisableexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,342 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/feclearexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,342 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_round.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,343 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,343 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_fabs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,343 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/s_floor.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,343 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/feholdexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,343 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_floor.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/arm 2024-01-19 02:41:23,344 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,344 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/fenv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,344 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_atan2.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,344 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_expf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,344 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,345 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log10.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,345 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_frexp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,345 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_math.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,345 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrintl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,345 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_pow.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,346 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,346 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,346 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,346 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_powf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,346 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log10f.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,346 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_ldexp.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,347 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_tan.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,347 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/i386mach.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,347 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_atan2f.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,347 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_exp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,347 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,348 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_frexpf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,348 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrintl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,348 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,349 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_logf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,349 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_tanf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,349 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,349 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rintl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,349 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_ldexpf.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,350 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,350 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/x86 2024-01-19 02:41:23,350 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-01-19 02:41:23,350 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/mips/fenv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-01-19 02:41:23,350 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/mips/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-01-19 02:41:23,350 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/mips/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/mips 2024-01-19 02:41:23,351 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/powerpc 2024-01-19 02:41:23,351 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/powerpc/fenv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/powerpc 2024-01-19 02:41:23,351 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/powerpc/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/powerpc 2024-01-19 02:41:23,351 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,351 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetenv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,352 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/feraiseexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,352 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_lrint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,352 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_isnan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,352 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_lround.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,352 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fmax.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,353 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetexceptflag.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,353 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_sqrt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,353 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetexceptflag.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,353 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetenv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,353 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_sqrt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,354 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_llrint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,354 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,354 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_llround.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,354 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetround.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,354 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fma_riscv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,354 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fmax.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,355 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fpclassify.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,355 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_lround.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,355 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fabs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,355 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_isnan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,355 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fma.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,356 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/fetestexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,356 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/feupdateenv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,356 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetround.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,356 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fmin.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,356 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_copysign.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,357 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_copysign.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,357 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_isinf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,357 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fpclassify.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,357 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/feclearexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,357 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,358 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_llrint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,358 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_isinf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,358 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_finite.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,358 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fma_riscv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,358 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_finite.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,358 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_lrint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,359 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fmin.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,359 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fabs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,359 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/feholdexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,359 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_llround.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,359 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fma.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/riscv 2024-01-19 02:41:23,360 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-01-19 02:41:23,360 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/sparc/fenv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-01-19 02:41:23,360 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/sparc/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-01-19 02:41:23,360 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/machine/sparc/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/machine/sparc 2024-01-19 02:41:23,360 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm 2024-01-19 02:41:23,831 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:23,832 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/feenableexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:23,969 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fegetmode.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:23,969 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fesetenv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:23,969 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/feraiseexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:23,970 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fenv.tex -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:23,970 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fesetexceptflag.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:23,970 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fegetexceptflag.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:23,970 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fegetenv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:23,971 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fenv_stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:23,971 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fegetexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:23,971 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:23,971 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fegetround.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:23,971 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fesetmode.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:23,972 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fesetexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:23,972 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fetestexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:23,972 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/feupdateenv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:23,972 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fesetround.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:23,972 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fe_dfl_env.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:23,973 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/fedisableexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:23,973 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/feclearexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:23,973 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:23,973 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/fenv/feholdexcept.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/fenv 2024-01-19 02:41:23,974 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,975 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/fmaxl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,975 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_check_uflow.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,976 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_nan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,976 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_log1p.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,977 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_pow10.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,977 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/pow.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,978 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/llroundl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,978 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_log.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,979 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/exp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,979 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/atanhl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,980 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_iseqsig.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,980 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/expl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,981 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_modf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,981 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/log_data.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,982 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_lrint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,982 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_isinff.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,983 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_isnan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,983 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/nearbyintl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,984 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_lround.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,984 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/isinfl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,985 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/isgreater.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,985 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/atan2l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,986 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_fmax.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,986 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_invalid.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,987 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/finitel.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,987 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_remquo.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,988 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_nextafter.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,988 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/lrintl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,989 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/logl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,989 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/ceill.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,989 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sl_iseqsig.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,990 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sinf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,990 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/asinhl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,991 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/tanhl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,991 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/log10l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,992 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_rint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,992 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_may_uflowf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,993 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_llrint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,993 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_rint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,994 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errl_with_errnol.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,994 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_divzero.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,995 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/dreml.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,995 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/tanl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,996 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/floorl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,996 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,997 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_pow_log2_data.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,997 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_scalbn.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,998 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_exp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,998 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_signbit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,999 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_exp2_data.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:23,999 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_llround.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,000 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/exp2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,000 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_log2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,001 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_cbrt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,001 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/pow10l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,002 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sincosf_data.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,002 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_inexact.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,003 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/nexttoward.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,003 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/frexpl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,003 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_config.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,004 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_fmax.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,005 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_uflowf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,005 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_round.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,006 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_getpayload.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,006 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_log1p.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,006 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_fpclassify.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,007 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/powl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,007 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_lround.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,008 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_check_oflow.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,008 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_trunc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,009 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_iseqsig.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,009 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_expm1.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,010 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_isnan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,010 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/asinl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,011 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_exp2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,011 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/nexttowardl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,012 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/cbrtl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,012 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sqrtl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,013 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sincosl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,013 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/fminl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,014 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_isnand.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,014 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_ilogb.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,015 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/atanl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,015 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sl_finite.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,016 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/fmal.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,017 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/fabsl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,017 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_may_uflow.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,018 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_with_errno.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,018 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/erfcl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,019 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_fma.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,019 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/fdlibm.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,020 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/copysignl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,020 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/exp_data.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,021 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/exp2l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,022 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sinhl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,022 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/cosf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,023 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_scalbln.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,023 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_log2_data.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,023 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_fmin.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,024 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_trunc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,024 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/acoshl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,025 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_nearbyint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,025 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_fdim.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,026 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/rintl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,026 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/modfl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,027 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_log_data.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,027 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/log2l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,028 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_copysign.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,028 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_nearbyint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,029 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_nextafter.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,029 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/log1pl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,030 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/lroundl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,030 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_modf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,031 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_inexactf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,031 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/nextafterl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,032 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_copysign.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,032 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/roundl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,033 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_getpayload.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,033 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_issignaling.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,034 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sinl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,034 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/coshl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,035 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_cbrt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,035 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_divzerof.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,036 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/tgammal.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,036 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_isinf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,037 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_oflowf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,037 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_pow.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,038 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_check_oflowf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,038 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_scalbln.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,039 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_log2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,039 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_with_errnof.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,040 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_exp10.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,040 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_fpclassify.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,041 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/pow_log_data.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,041 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_remquo.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,042 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/log.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,042 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_round.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,043 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/ilogbl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,043 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/ldexpl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,044 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_check_uflowf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,044 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sincosf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,045 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,045 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_expm1.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,046 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_infinity.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,046 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_infinity.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,047 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/remquol.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,047 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_llrint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,048 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/erfl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,048 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/fmodl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,049 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/scalbnl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,049 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/exp10l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,050 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_isinf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,050 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_oflow.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,051 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_exp10.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,051 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_finite.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,052 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sincosf.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,052 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/scalblnl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,053 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/expm1l.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,053 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/acosl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,054 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_finite.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,054 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/truncl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,055 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_logb.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,055 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_lrint.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,056 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_fmin.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,056 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_fdim.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,057 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_err_uflow.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,057 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_scalbn.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,058 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/signgam.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,058 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/nexttowardf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,059 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/llrintl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,059 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_pow10.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,060 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/log2_data.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,060 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/logbl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,061 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errl_invalidl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,061 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_ilogb.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,062 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/remainderl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,062 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/nanl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,063 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/fdiml.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,063 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/cosl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,064 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/lgammal.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,064 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/isnanl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,065 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_isnanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,065 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/local.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,066 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_logb.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,066 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_isinfd.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,067 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_nan.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,067 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/math_errf_invalidf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,068 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/log2.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,068 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_llround.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,069 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sl_issignaling.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,069 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/s_fma.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,070 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/libm/common/sf_issignaling.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib/libm/common 2024-01-19 02:41:24,070 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/ChangeLog -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:24,071 root INFO copying build/lib/pythondata_software_picolibc/data/newlib/NEWS -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/newlib 2024-01-19 02:41:24,072 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,072 root INFO copying build/lib/pythondata_software_picolibc/data/test/fenv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,073 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-put.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,073 root INFO copying build/lib/pythondata_software_picolibc/data/test/on_exit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,074 root INFO copying build/lib/pythondata_software_picolibc/data/test/try-ilp32.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,074 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-strtod.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,075 root INFO copying build/lib/pythondata_software_picolibc/data/test/timegm.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,076 root INFO copying build/lib/pythondata_software_picolibc/data/test/try-ilp32-sub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,077 root INFO copying build/lib/pythondata_software_picolibc/data/test/rounding-mode-sub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,077 root INFO copying build/lib/pythondata_software_picolibc/data/test/constructor.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,078 root INFO copying build/lib/pythondata_software_picolibc/data/test/printf_scanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,078 root INFO copying build/lib/pythondata_software_picolibc/data/test/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,078 root INFO copying build/lib/pythondata_software_picolibc/data/test/hosted-exit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,079 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,079 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-tmpname.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,080 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-exit-extended-failure.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,080 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-tmpnam.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,081 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-writec.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,081 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-remove.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,082 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-read.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,082 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-tickfreq.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,083 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-exit-extended.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,083 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-gettimeofday.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,084 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-system.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,084 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-iserror.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,085 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-seek.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,085 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-elapsed.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,086 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-open.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,086 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-istty.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,086 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-errno.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,087 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-get-cmdline.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,087 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-close.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,088 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-heapinfo.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,088 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-readc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,089 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-clock.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,089 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,090 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-time.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,090 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-system-failure.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,091 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-flen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,091 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-rename.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,092 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-write.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,092 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-exit-failure.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,093 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-argv.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,093 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-exit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,094 root INFO copying build/lib/pythondata_software_picolibc/data/test/semihost/semihost-write0.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/semihost 2024-01-19 02:41:24,094 root INFO copying build/lib/pythondata_software_picolibc/data/test/atexit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,095 root INFO copying build/lib/pythondata_software_picolibc/data/test/rand.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,095 root INFO copying build/lib/pythondata_software_picolibc/data/test/math-funcs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,096 root INFO copying build/lib/pythondata_software_picolibc/data/test/setjmp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,096 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-efcvt.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,097 root INFO copying build/lib/pythondata_software_picolibc/data/test/abort.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,097 root INFO copying build/lib/pythondata_software_picolibc/data/test/time-sprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,098 root INFO copying build/lib/pythondata_software_picolibc/data/test/testcases.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,099 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-fopen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,099 root INFO copying build/lib/pythondata_software_picolibc/data/test/complex-funcs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,099 root INFO copying build/lib/pythondata_software_picolibc/data/test/time-tests.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,099 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-mktemp.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,100 root INFO copying build/lib/pythondata_software_picolibc/data/test/constructor-skip.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,100 root INFO copying build/lib/pythondata_software_picolibc/data/test/tls.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,100 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-except.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,101 root INFO copying build/lib/pythondata_software_picolibc/data/test/ffs.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,101 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-strchr.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,101 root INFO copying build/lib/pythondata_software_picolibc/data/test/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,101 root INFO copying build/lib/pythondata_software_picolibc/data/test/test-memset.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,102 root INFO copying build/lib/pythondata_software_picolibc/data/test/printf-tests.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,102 root INFO copying build/lib/pythondata_software_picolibc/data/test/stack-smash.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,102 root INFO copying build/lib/pythondata_software_picolibc/data/test/posix-io.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,102 root INFO copying build/lib/pythondata_software_picolibc/data/test/math_errhandling.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,103 root INFO copying build/lib/pythondata_software_picolibc/data/test/rounding-mode.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,103 root INFO copying build/lib/pythondata_software_picolibc/data/test/math_errhandling_tests.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,103 root INFO copying build/lib/pythondata_software_picolibc/data/test/timegm.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,104 root INFO copying build/lib/pythondata_software_picolibc/data/test/ungetc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,104 root INFO copying build/lib/pythondata_software_picolibc/data/test/malloc_stress.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,104 root INFO copying build/lib/pythondata_software_picolibc/data/test/regex.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,104 root INFO copying build/lib/pythondata_software_picolibc/data/test/lock-valid.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,105 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:24,105 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/fnmatch.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:24,105 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/testcase.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:24,106 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/snprintf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:24,106 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/strtol.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:24,106 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/strtod.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:24,106 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/basename.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:24,107 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/qsort.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:24,107 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/dirname.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:24,107 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:24,107 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/string.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:24,108 root INFO copying build/lib/pythondata_software_picolibc/data/test/libc-testsuite/sscanf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test/libc-testsuite 2024-01-19 02:41:24,108 root INFO copying build/lib/pythondata_software_picolibc/data/test/try-ilp32.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,108 root INFO copying build/lib/pythondata_software_picolibc/data/test/malloc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/test 2024-01-19 02:41:24,109 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github 2024-01-19 02:41:24,109 root INFO copying build/lib/pythondata_software_picolibc/data/.github/do-build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github 2024-01-19 02:41:24,109 root INFO copying build/lib/pythondata_software_picolibc/data/.github/CODEOWNERS -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github 2024-01-19 02:41:24,109 root INFO copying build/lib/pythondata_software_picolibc/data/.github/extra-files.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github 2024-01-19 02:41:24,110 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:24,110 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/steps-release -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:24,110 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/variants-cmake -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:24,110 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/linux.yml -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:24,111 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/steps-head -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:24,111 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/steps-minsize -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:24,111 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/head -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:24,112 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/targets-misc -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:24,112 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/macos.yml -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:24,112 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/targets-ppc -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:24,112 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/variants -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:24,113 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/targets-arm -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:24,113 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/make-workflow -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:24,113 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/targets-zephyr -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:24,113 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/targets-cmake-arm -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:24,114 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/targets-mips -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:24,114 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/steps-cmake -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:24,114 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/steps-fortify-source -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:24,114 root INFO copying build/lib/pythondata_software_picolibc/data/.github/workflows/targets-riscv -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github/workflows 2024-01-19 02:41:24,115 root INFO copying build/lib/pythondata_software_picolibc/data/.github/do-zephyr-build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github 2024-01-19 02:41:24,115 root INFO copying build/lib/pythondata_software_picolibc/data/.github/do-many -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github 2024-01-19 02:41:24,115 root INFO copying build/lib/pythondata_software_picolibc/data/.github/Dockerfile -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github 2024-01-19 02:41:24,115 root INFO copying build/lib/pythondata_software_picolibc/data/.github/packages.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github 2024-01-19 02:41:24,116 root INFO copying build/lib/pythondata_software_picolibc/data/.github/do-cmake-test -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github 2024-01-19 02:41:24,116 root INFO copying build/lib/pythondata_software_picolibc/data/.github/do-test -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github 2024-01-19 02:41:24,116 root INFO copying build/lib/pythondata_software_picolibc/data/.github/do-zephyr -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/.github 2024-01-19 02:41:24,116 root INFO copying build/lib/pythondata_software_picolibc/data/README.md -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data 2024-01-19 02:41:24,117 root INFO copying build/lib/pythondata_software_picolibc/data/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data 2024-01-19 02:41:24,117 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,117 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_errno.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,118 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_remove.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,118 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_feature.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,118 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/exit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,118 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/semihost.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,119 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/lseek64.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,119 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_clock.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,119 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_close.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,119 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,120 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_elapsed.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,120 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_exit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,120 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/iob.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,120 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/lseek.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,121 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_putc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,121 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_seek.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,121 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/kill.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,121 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_iserror.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,122 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_tmpnam.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,122 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/mapstdio.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,122 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_istty.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,122 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/getentropy.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,123 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_time.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,123 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_get_cmdline.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,123 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/read.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,123 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/gettimeofday.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,124 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/unlink.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,124 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_heapinfo.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,124 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_exit_extended.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,125 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/close.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,125 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_read.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,125 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_open.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,125 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/write.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,126 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_write0.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,126 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/machine 2024-01-19 02:41:24,126 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/machine/aarch64 2024-01-19 02:41:24,126 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/aarch64/semihost-aarch64.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/machine/aarch64 2024-01-19 02:41:24,127 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/aarch64/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/machine/aarch64 2024-01-19 02:41:24,127 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/machine/arm 2024-01-19 02:41:24,127 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/arm/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/machine/arm 2024-01-19 02:41:24,127 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/arm/semihost-arm.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/machine/arm 2024-01-19 02:41:24,128 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/arm/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/machine/arm 2024-01-19 02:41:24,128 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 02:41:24,128 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/x86/e9_kill.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 02:41:24,128 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/x86/bios.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 02:41:24,129 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/x86/e9_exit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 02:41:24,129 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/x86/e9_stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 02:41:24,129 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/x86/bios.ld -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 02:41:24,129 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/x86/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 02:41:24,130 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/x86/e9_io.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/machine/x86 2024-01-19 02:41:24,130 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/machine/riscv 2024-01-19 02:41:24,130 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/riscv/semihost-riscv.s -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/machine/riscv 2024-01-19 02:41:24,130 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/machine/riscv/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/machine/riscv 2024-01-19 02:41:24,131 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,131 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_tickfreq.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,131 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/fstat.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,131 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/semihost-private.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,132 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/open.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,132 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_getc.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,132 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_write.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,132 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_system.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,133 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_flen.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,133 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/sys_rename.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,133 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/isatty.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost 2024-01-19 02:41:24,134 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/fake 2024-01-19 02:41:24,134 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/fake/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/fake 2024-01-19 02:41:24,134 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/fake/fake_exit.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/fake 2024-01-19 02:41:24,134 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/fake/fake_io.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/fake 2024-01-19 02:41:24,135 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/fake/fake_stub.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/fake 2024-01-19 02:41:24,135 root INFO copying build/lib/pythondata_software_picolibc/data/semihost/fake/fake_kill.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/semihost/fake 2024-01-19 02:41:24,135 root INFO copying build/lib/pythondata_software_picolibc/data/make-copyrights -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data 2024-01-19 02:41:24,135 root INFO copying build/lib/pythondata_software_picolibc/data/test.specs.in -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data 2024-01-19 02:41:24,136 root INFO copying build/lib/pythondata_software_picolibc/data/.gitattributes -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data 2024-01-19 02:41:24,136 root INFO copying build/lib/pythondata_software_picolibc/data/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data 2024-01-19 02:41:24,136 root INFO copying build/lib/pythondata_software_picolibc/data/CODE_OF_CONDUCT.md -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data 2024-01-19 02:41:24,136 root INFO copying build/lib/pythondata_software_picolibc/data/meson_options.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data 2024-01-19 02:41:24,137 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 02:41:24,137 root INFO copying build/lib/pythondata_software_picolibc/data/doc/init.md -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 02:41:24,137 root INFO copying build/lib/pythondata_software_picolibc/data/doc/printf.md -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 02:41:24,137 root INFO copying build/lib/pythondata_software_picolibc/data/doc/testing.md -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 02:41:24,138 root INFO copying build/lib/pythondata_software_picolibc/data/doc/tls.md -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 02:41:24,138 root INFO copying build/lib/pythondata_software_picolibc/data/doc/releasing.md -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 02:41:24,138 root INFO copying build/lib/pythondata_software_picolibc/data/doc/picolibc.svg -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 02:41:24,138 root INFO copying build/lib/pythondata_software_picolibc/data/doc/embedsource.md -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 02:41:24,139 root INFO copying build/lib/pythondata_software_picolibc/data/doc/build.md -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 02:41:24,139 root INFO copying build/lib/pythondata_software_picolibc/data/doc/locking.md -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 02:41:24,139 root INFO copying build/lib/pythondata_software_picolibc/data/doc/linking.md -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 02:41:24,140 root INFO copying build/lib/pythondata_software_picolibc/data/doc/os.md -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 02:41:24,140 root INFO copying build/lib/pythondata_software_picolibc/data/doc/using.md -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/doc 2024-01-19 02:41:24,140 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/picocrt 2024-01-19 02:41:24,140 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/picocrt/shared 2024-01-19 02:41:24,141 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/shared/crt0.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/picocrt/shared 2024-01-19 02:41:24,141 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/picocrt 2024-01-19 02:41:24,141 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/crt0.h -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/picocrt 2024-01-19 02:41:24,141 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/picocrt/machine 2024-01-19 02:41:24,142 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/picocrt/machine/aarch64 2024-01-19 02:41:24,142 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/aarch64/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/picocrt/machine/aarch64 2024-01-19 02:41:24,142 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/aarch64/crt0.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/picocrt/machine/aarch64 2024-01-19 02:41:24,142 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/picocrt/machine/arm 2024-01-19 02:41:24,143 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/arm/CMakeLists.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/picocrt/machine/arm 2024-01-19 02:41:24,143 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/arm/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/picocrt/machine/arm 2024-01-19 02:41:24,143 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/arm/crt0.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/picocrt/machine/arm 2024-01-19 02:41:24,143 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/picocrt/machine/x86 2024-01-19 02:41:24,144 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/x86/crt0.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/picocrt/machine/x86 2024-01-19 02:41:24,144 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/x86/crt0-64.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/picocrt/machine/x86 2024-01-19 02:41:24,144 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/x86/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/picocrt/machine/x86 2024-01-19 02:41:24,144 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/x86/crt0-32.S -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/picocrt/machine/x86 2024-01-19 02:41:24,145 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/picocrt/machine/riscv 2024-01-19 02:41:24,145 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/riscv/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/picocrt/machine/riscv 2024-01-19 02:41:24,145 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/machine/riscv/crt0.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/picocrt/machine/riscv 2024-01-19 02:41:24,145 root INFO copying build/lib/pythondata_software_picolibc/data/picocrt/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/picocrt 2024-01-19 02:41:24,146 root INFO copying build/lib/pythondata_software_picolibc/data/CONTRIBUTING.md -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data 2024-01-19 02:41:24,146 root INFO copying build/lib/pythondata_software_picolibc/data/COPYING.picolibc -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data 2024-01-19 02:41:24,147 root INFO copying build/lib/pythondata_software_picolibc/data/COPYING.GPL2 -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data 2024-01-19 02:41:24,147 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/dummyhost 2024-01-19 02:41:24,147 root INFO copying build/lib/pythondata_software_picolibc/data/dummyhost/iob.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/dummyhost 2024-01-19 02:41:24,148 root INFO copying build/lib/pythondata_software_picolibc/data/dummyhost/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/dummyhost 2024-01-19 02:41:24,148 root INFO copying build/lib/pythondata_software_picolibc/data/.clang-format -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data 2024-01-19 02:41:24,148 root INFO copying build/lib/pythondata_software_picolibc/data/picolibc.h.in -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data 2024-01-19 02:41:24,149 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/zephyr 2024-01-19 02:41:24,149 root INFO copying build/lib/pythondata_software_picolibc/data/zephyr/module.yml -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/zephyr 2024-01-19 02:41:24,149 root INFO copying build/lib/pythondata_software_picolibc/data/zephyr/zephyr.cmake -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/zephyr 2024-01-19 02:41:24,149 root INFO copying build/lib/pythondata_software_picolibc/data/zephyr/Kconfig -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/zephyr 2024-01-19 02:41:24,149 root INFO copying build/lib/pythondata_software_picolibc/data/picolibc.specs.in -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data 2024-01-19 02:41:24,150 root INFO copying build/lib/pythondata_software_picolibc/data/.editorconfig -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data 2024-01-19 02:41:24,150 root INFO copying build/lib/pythondata_software_picolibc/data/meson.build -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data 2024-01-19 02:41:24,151 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,151 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-freedom-tools-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,151 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-riscv -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,151 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-m68k.ld -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,152 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-intel_bdw_adsp-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,152 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-powerpc64-linux-gnu.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,152 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-thumbv6m-none-eabi.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,152 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-x86.ld -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,153 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-riscv-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,153 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-riscv.ld -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,153 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-clang-thumbv7m-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,153 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-native-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,154 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-nios2-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,154 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-i386-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,154 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-x86-linux-gnu.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,154 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-aarch64-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,155 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-clang-thumbv6m-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,155 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_s1000_zephyr_elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,155 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-cortex-a9 -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,155 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-arc64-zephyr-elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,156 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-clang-arm-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,156 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-aarch64.ld -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,156 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-zephyr-aarch64-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,156 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-sample_controller-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,157 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-esp32-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,157 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx8m_adsp_zephyr_elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,157 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-arc64-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,157 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_byt_adsp_zephyr_elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,158 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-mips-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,158 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-clang-msp430-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,158 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-sample_controller_zephyr_elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,158 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-m68k-linux-gnu.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,159 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-mipsel-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,159 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-msp430.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,159 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-i386 -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,159 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-old-riscv64-unknown-elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,160 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-thumbv7e+fp-none-eabi.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,160 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx8m_adsp_zephyr-elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,160 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-rv32imac_zicsr.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,161 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-x86-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,161 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-i686-linux-gnu.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,161 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/monitor-e9 -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,161 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-powerpc64le-linux-gnu.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,162 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-sample_controller_zephyr-elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,162 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-clang-rv32imafdc-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,162 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-zephyr-arm-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,162 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-clang-riscv-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,163 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-riscv64.ld -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,163 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32_zephyr-elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,163 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-thumbv6m -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,163 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_apl_adsp_zephyr-elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,164 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-x86_64-linux-gnu.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,164 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-rv32imafdc -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,164 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-cortex-a9.ld -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,164 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-mipsel-linux-gnu.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,165 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-x86_64 -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,165 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-arm -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,165 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-freedom-tools-package -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,165 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-mips-linux-gnu.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,166 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-x86_64.ld -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,166 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-esp32-elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,166 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-arc-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,167 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_bdw_adsp_zephyr_elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,167 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/duplicate-names -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,167 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-aarch64-linux-gnu.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,167 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-m68k-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,168 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-cmake-thumbv7m-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,168 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-riscv64-unknown-elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,168 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-riscv32.ld -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,168 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-aarch64-zephyr-elf-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,169 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-rv32imac.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,169 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-old-rv32imafdc-unknown-elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,169 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-arm-none-eabi.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,169 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_byt_adsp_zephyr-elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,170 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-powerpc64-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,170 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-sparc64-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,170 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-riscv64-unknown-elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,170 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-cortex-a9-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,171 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-arm.ld -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,171 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-lx106-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,171 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx_adsp_zephyr_elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,172 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32s2_zephyr-elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,172 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-cortex-a9-none-eabi.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,172 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-intel_byt_adsp-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,172 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-nios2-zephyr-elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,173 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-clang-thumbv7e+fp-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,173 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-x86 -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,173 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-zephyr-riscv-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,174 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-thumbv7e -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,174 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-msp430-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,174 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-espressif_esp32s2-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,175 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-nxp_imx_adsp-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,175 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-thumbv7m-none-eabi.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,175 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-espressif_esp32-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,175 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-rv32imac-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,176 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-nxp_imx8m_adsp-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,176 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-riscv64-zephyr-elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,176 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-thumbv7m -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,176 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-intel_apl_adsp-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,177 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-old-clang-riscv64-unknown-elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,177 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-aarch64 -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,177 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/GeneratePicolibcCrossFile.sh -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,178 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/run-rv32imac -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,178 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_bdw_adsp_zephyr-elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,178 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_apl_adsp_zephyr_elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,178 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/test-i386.ld -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,179 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-aarch64-zephyr-elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,179 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-msp430.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,179 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-arm-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,179 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-xtensa-intel_s1000-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,180 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx_adsp_zephyr-elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,180 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-sparc64-linux-gnu.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,180 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-x86_64-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,181 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-arm-zephyr-eabi.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,181 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-intel_s1000_zephyr-elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,181 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32s2_zephyr_elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,181 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-clang-rv32imafdc-unknown-elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,182 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-powerpc64le-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,182 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/do-configure -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,182 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-arc-zephyr-elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,182 root INFO copying build/lib/pythondata_software_picolibc/data/scripts/cross-xtensa-lx106-elf.txt -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/scripts 2024-01-19 02:41:24,183 root INFO copying build/lib/pythondata_software_picolibc/data/cross.tmpl -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data 2024-01-19 02:41:24,183 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/cmake 2024-01-19 02:41:24,183 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/have-attribute-always-inline.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/cmake 2024-01-19 02:41:24,183 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/have-alloc-size.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/cmake 2024-01-19 02:41:24,184 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/TC-arm-none-eabi.cmake -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/cmake 2024-01-19 02:41:24,184 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/have-long-double.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/cmake 2024-01-19 02:41:24,184 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/have-attribute-gnu-inline.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/cmake 2024-01-19 02:41:24,185 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/ldbl-eq-dbl.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/cmake 2024-01-19 02:41:24,185 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/TC-arm-none-eabi.ld -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/cmake 2024-01-19 02:41:24,185 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/have-alias-attribute.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/cmake 2024-01-19 02:41:24,185 root INFO copying build/lib/pythondata_software_picolibc/data/cmake/picolibc.cmake -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/cmake 2024-01-19 02:41:24,186 root INFO copying build/lib/pythondata_software_picolibc/data/COPYING.NEWLIB -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data 2024-01-19 02:41:24,186 root INFO copying build/lib/pythondata_software_picolibc/data/find-copyright -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data 2024-01-19 02:41:24,186 root INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:24,187 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/hello-worldpp.cpp -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:24,187 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/run-riscv -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:24,187 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/aarch64-cpp.ld -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:24,187 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/hello-world.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:24,188 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/README.md -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:24,188 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/.gitignore -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:24,188 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/aarch64.ld -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:24,188 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/run-riscv++ -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:24,189 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/run-arm -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:24,189 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/run-aarch64++ -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:24,189 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/test-file -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:24,189 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/riscv-cpp.ld -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:24,190 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/riscv.ld -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:24,190 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/arm.ld -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:24,190 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/run-aarch64 -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:24,190 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/printf.c -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:24,191 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/Makefile -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:24,191 root INFO copying build/lib/pythondata_software_picolibc/data/hello-world/arm-cpp.ld -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc/data/hello-world 2024-01-19 02:41:24,191 root INFO copying build/lib/pythondata_software_picolibc/__init__.py -> build/bdist.linux-x86_64/wheel/pythondata_software_picolibc 2024-01-19 02:41:24,192 root INFO running install_egg_info 2024-01-19 02:41:24,197 root INFO Copying pythondata_software_picolibc.egg-info to build/bdist.linux-x86_64/wheel/pythondata_software_picolibc-1.7.9.post181-py3.11.egg-info 2024-01-19 02:41:24,199 root INFO running install_scripts 2024-01-19 02:41:24,201 wheel INFO creating build/bdist.linux-x86_64/wheel/pythondata_software_picolibc-1.7.9.post181.dist-info/WHEEL 2024-01-19 02:41:24,202 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/pythondata-software-picolibc-2023.12/dist/.tmp-ol2vikfq/pythondata_software_picolibc-1.7.9.post181-py3-none-any.whl' and adding 'build/bdist.linux-x86_64/wheel' to it 2024-01-19 02:41:24,202 wheel INFO adding 'pythondata_software_picolibc/__init__.py' 2024-01-19 02:41:24,203 wheel INFO adding 'pythondata_software_picolibc/data/.clang-format' 2024-01-19 02:41:24,203 wheel INFO adding 'pythondata_software_picolibc/data/.editorconfig' 2024-01-19 02:41:24,203 wheel INFO adding 'pythondata_software_picolibc/data/.gitattributes' 2024-01-19 02:41:24,204 wheel INFO adding 'pythondata_software_picolibc/data/.gitignore' 2024-01-19 02:41:24,204 wheel INFO adding 'pythondata_software_picolibc/data/CMakeLists.txt' 2024-01-19 02:41:24,205 wheel INFO adding 'pythondata_software_picolibc/data/CODE_OF_CONDUCT.md' 2024-01-19 02:41:24,205 wheel INFO adding 'pythondata_software_picolibc/data/CONTRIBUTING.md' 2024-01-19 02:41:24,205 wheel INFO adding 'pythondata_software_picolibc/data/COPYING.GPL2' 2024-01-19 02:41:24,206 wheel INFO adding 'pythondata_software_picolibc/data/COPYING.NEWLIB' 2024-01-19 02:41:24,207 wheel INFO adding 'pythondata_software_picolibc/data/COPYING.picolibc' 2024-01-19 02:41:24,209 wheel INFO adding 'pythondata_software_picolibc/data/README.md' 2024-01-19 02:41:24,209 wheel INFO adding 'pythondata_software_picolibc/data/cross.tmpl' 2024-01-19 02:41:24,209 wheel INFO adding 'pythondata_software_picolibc/data/find-copyright' 2024-01-19 02:41:24,210 wheel INFO adding 'pythondata_software_picolibc/data/make-copyrights' 2024-01-19 02:41:24,210 wheel INFO adding 'pythondata_software_picolibc/data/meson.build' 2024-01-19 02:41:24,211 wheel INFO adding 'pythondata_software_picolibc/data/meson_options.txt' 2024-01-19 02:41:24,211 wheel INFO adding 'pythondata_software_picolibc/data/picolibc.h.in' 2024-01-19 02:41:24,212 wheel INFO adding 'pythondata_software_picolibc/data/picolibc.ld.in' 2024-01-19 02:41:24,212 wheel INFO adding 'pythondata_software_picolibc/data/picolibc.specs.in' 2024-01-19 02:41:24,212 wheel INFO adding 'pythondata_software_picolibc/data/test.specs.in' 2024-01-19 02:41:24,213 wheel INFO adding 'pythondata_software_picolibc/data/.github/CODEOWNERS' 2024-01-19 02:41:24,213 wheel INFO adding 'pythondata_software_picolibc/data/.github/Dockerfile' 2024-01-19 02:41:24,213 wheel INFO adding 'pythondata_software_picolibc/data/.github/do-build' 2024-01-19 02:41:24,213 wheel INFO adding 'pythondata_software_picolibc/data/.github/do-cmake-test' 2024-01-19 02:41:24,214 wheel INFO adding 'pythondata_software_picolibc/data/.github/do-many' 2024-01-19 02:41:24,214 wheel INFO adding 'pythondata_software_picolibc/data/.github/do-test' 2024-01-19 02:41:24,214 wheel INFO adding 'pythondata_software_picolibc/data/.github/do-zephyr' 2024-01-19 02:41:24,215 wheel INFO adding 'pythondata_software_picolibc/data/.github/do-zephyr-build' 2024-01-19 02:41:24,215 wheel INFO adding 'pythondata_software_picolibc/data/.github/extra-files.txt' 2024-01-19 02:41:24,215 wheel INFO adding 'pythondata_software_picolibc/data/.github/packages.txt' 2024-01-19 02:41:24,215 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/head' 2024-01-19 02:41:24,216 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/linux.yml' 2024-01-19 02:41:24,216 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/macos.yml' 2024-01-19 02:41:24,217 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/make-workflow' 2024-01-19 02:41:24,217 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/steps-cmake' 2024-01-19 02:41:24,217 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/steps-fortify-source' 2024-01-19 02:41:24,217 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/steps-head' 2024-01-19 02:41:24,218 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/steps-minsize' 2024-01-19 02:41:24,218 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/steps-release' 2024-01-19 02:41:24,218 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/targets-arm' 2024-01-19 02:41:24,218 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/targets-cmake-arm' 2024-01-19 02:41:24,219 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/targets-mips' 2024-01-19 02:41:24,219 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/targets-misc' 2024-01-19 02:41:24,219 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/targets-ppc' 2024-01-19 02:41:24,220 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/targets-riscv' 2024-01-19 02:41:24,220 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/targets-zephyr' 2024-01-19 02:41:24,220 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/variants' 2024-01-19 02:41:24,220 wheel INFO adding 'pythondata_software_picolibc/data/.github/workflows/variants-cmake' 2024-01-19 02:41:24,221 wheel INFO adding 'pythondata_software_picolibc/data/cmake/TC-arm-none-eabi.cmake' 2024-01-19 02:41:24,221 wheel INFO adding 'pythondata_software_picolibc/data/cmake/TC-arm-none-eabi.ld' 2024-01-19 02:41:24,221 wheel INFO adding 'pythondata_software_picolibc/data/cmake/have-alias-attribute.c' 2024-01-19 02:41:24,222 wheel INFO adding 'pythondata_software_picolibc/data/cmake/have-alloc-size.c' 2024-01-19 02:41:24,222 wheel INFO adding 'pythondata_software_picolibc/data/cmake/have-attribute-always-inline.c' 2024-01-19 02:41:24,222 wheel INFO adding 'pythondata_software_picolibc/data/cmake/have-attribute-gnu-inline.c' 2024-01-19 02:41:24,222 wheel INFO adding 'pythondata_software_picolibc/data/cmake/have-long-double.c' 2024-01-19 02:41:24,223 wheel INFO adding 'pythondata_software_picolibc/data/cmake/ldbl-eq-dbl.c' 2024-01-19 02:41:24,223 wheel INFO adding 'pythondata_software_picolibc/data/cmake/picolibc.cmake' 2024-01-19 02:41:24,224 wheel INFO adding 'pythondata_software_picolibc/data/doc/build.md' 2024-01-19 02:41:24,224 wheel INFO adding 'pythondata_software_picolibc/data/doc/embedsource.md' 2024-01-19 02:41:24,225 wheel INFO adding 'pythondata_software_picolibc/data/doc/init.md' 2024-01-19 02:41:24,225 wheel INFO adding 'pythondata_software_picolibc/data/doc/linking.md' 2024-01-19 02:41:24,225 wheel INFO adding 'pythondata_software_picolibc/data/doc/locking.md' 2024-01-19 02:41:24,226 wheel INFO adding 'pythondata_software_picolibc/data/doc/os.md' 2024-01-19 02:41:24,226 wheel INFO adding 'pythondata_software_picolibc/data/doc/picolibc.svg' 2024-01-19 02:41:24,226 wheel INFO adding 'pythondata_software_picolibc/data/doc/printf.md' 2024-01-19 02:41:24,227 wheel INFO adding 'pythondata_software_picolibc/data/doc/releasing.md' 2024-01-19 02:41:24,227 wheel INFO adding 'pythondata_software_picolibc/data/doc/testing.md' 2024-01-19 02:41:24,227 wheel INFO adding 'pythondata_software_picolibc/data/doc/tls.md' 2024-01-19 02:41:24,227 wheel INFO adding 'pythondata_software_picolibc/data/doc/using.md' 2024-01-19 02:41:24,228 wheel INFO adding 'pythondata_software_picolibc/data/dummyhost/iob.c' 2024-01-19 02:41:24,228 wheel INFO adding 'pythondata_software_picolibc/data/dummyhost/meson.build' 2024-01-19 02:41:24,228 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/.gitignore' 2024-01-19 02:41:24,229 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/Makefile' 2024-01-19 02:41:24,229 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/README.md' 2024-01-19 02:41:24,229 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/aarch64-cpp.ld' 2024-01-19 02:41:24,230 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/aarch64.ld' 2024-01-19 02:41:24,230 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/arm-cpp.ld' 2024-01-19 02:41:24,230 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/arm.ld' 2024-01-19 02:41:24,230 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/hello-world.c' 2024-01-19 02:41:24,231 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/hello-worldpp.cpp' 2024-01-19 02:41:24,231 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/printf.c' 2024-01-19 02:41:24,231 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/riscv-cpp.ld' 2024-01-19 02:41:24,232 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/riscv.ld' 2024-01-19 02:41:24,232 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/run-aarch64' 2024-01-19 02:41:24,232 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/run-aarch64++' 2024-01-19 02:41:24,232 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/run-arm' 2024-01-19 02:41:24,233 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/run-riscv' 2024-01-19 02:41:24,233 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/run-riscv++' 2024-01-19 02:41:24,233 wheel INFO adding 'pythondata_software_picolibc/data/hello-world/test-file' 2024-01-19 02:41:24,234 wheel INFO adding 'pythondata_software_picolibc/data/newlib/CMakeLists.txt' 2024-01-19 02:41:24,234 wheel INFO adding 'pythondata_software_picolibc/data/newlib/ChangeLog' 2024-01-19 02:41:24,237 wheel INFO adding 'pythondata_software_picolibc/data/newlib/ChangeLog-2015' 2024-01-19 02:41:24,243 wheel INFO adding 'pythondata_software_picolibc/data/newlib/HOWTO' 2024-01-19 02:41:24,243 wheel INFO adding 'pythondata_software_picolibc/data/newlib/MAINTAINERS' 2024-01-19 02:41:24,244 wheel INFO adding 'pythondata_software_picolibc/data/newlib/NEWS' 2024-01-19 02:41:24,244 wheel INFO adding 'pythondata_software_picolibc/data/newlib/README' 2024-01-19 02:41:24,245 wheel INFO adding 'pythondata_software_picolibc/data/newlib/empty.c' 2024-01-19 02:41:24,245 wheel INFO adding 'pythondata_software_picolibc/data/newlib/man.xsl' 2024-01-19 02:41:24,245 wheel INFO adding 'pythondata_software_picolibc/data/newlib/meson.build' 2024-01-19 02:41:24,246 wheel INFO adding 'pythondata_software_picolibc/data/newlib/newlib.hin' 2024-01-19 02:41:24,246 wheel INFO adding 'pythondata_software_picolibc/data/newlib/refcontainers.xslt' 2024-01-19 02:41:24,246 wheel INFO adding 'pythondata_software_picolibc/data/newlib/doc/.gitignore' 2024-01-19 02:41:24,246 wheel INFO adding 'pythondata_software_picolibc/data/newlib/doc/chapter-texi2docbook.py' 2024-01-19 02:41:24,247 wheel INFO adding 'pythondata_software_picolibc/data/newlib/doc/doc.str' 2024-01-19 02:41:24,247 wheel INFO adding 'pythondata_software_picolibc/data/newlib/doc/makedocbook.py' 2024-01-19 02:41:24,248 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/CMakeLists.txt' 2024-01-19 02:41:24,248 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/libc.in.xml' 2024-01-19 02:41:24,248 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/meson.build' 2024-01-19 02:41:24,249 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/sys.tex' 2024-01-19 02:41:24,249 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/CMakeLists.txt' 2024-01-19 02:41:24,249 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_add.c' 2024-01-19 02:41:24,250 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_add_sep.c' 2024-01-19 02:41:24,250 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_append.c' 2024-01-19 02:41:24,250 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_count.c' 2024-01-19 02:41:24,251 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_create.c' 2024-01-19 02:41:24,251 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_create_sep.c' 2024-01-19 02:41:24,251 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_delete.c' 2024-01-19 02:41:24,251 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_extract.c' 2024-01-19 02:41:24,252 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_insert.c' 2024-01-19 02:41:24,252 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_next.c' 2024-01-19 02:41:24,252 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_replace.c' 2024-01-19 02:41:24,252 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/argz_stringify.c' 2024-01-19 02:41:24,253 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/buf_findstr.c' 2024-01-19 02:41:24,253 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/buf_findstr.h' 2024-01-19 02:41:24,253 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/envz_add.c' 2024-01-19 02:41:24,254 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/envz_entry.c' 2024-01-19 02:41:24,254 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/envz_get.c' 2024-01-19 02:41:24,254 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/envz_merge.c' 2024-01-19 02:41:24,254 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/envz_remove.c' 2024-01-19 02:41:24,255 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/envz_strip.c' 2024-01-19 02:41:24,255 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/argz/meson.build' 2024-01-19 02:41:24,255 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/CMakeLists.txt' 2024-01-19 02:41:24,256 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/caseconv.t' 2024-01-19 02:41:24,256 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/categories.c' 2024-01-19 02:41:24,256 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/categories.cat' 2024-01-19 02:41:24,257 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/categories.h' 2024-01-19 02:41:24,257 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/categories.t' 2024-01-19 02:41:24,258 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/ctype.tex' 2024-01-19 02:41:24,258 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/ctype_.c' 2024-01-19 02:41:24,258 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/ctype_.h' 2024-01-19 02:41:24,259 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/ctype_cp.h' 2024-01-19 02:41:24,259 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/ctype_iso.h' 2024-01-19 02:41:24,260 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isalnum.c' 2024-01-19 02:41:24,260 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isalnum_l.c' 2024-01-19 02:41:24,260 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isalpha.c' 2024-01-19 02:41:24,260 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isalpha_l.c' 2024-01-19 02:41:24,261 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isascii.c' 2024-01-19 02:41:24,261 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isascii_l.c' 2024-01-19 02:41:24,261 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isblank.c' 2024-01-19 02:41:24,262 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isblank_l.c' 2024-01-19 02:41:24,262 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iscntrl.c' 2024-01-19 02:41:24,262 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iscntrl_l.c' 2024-01-19 02:41:24,262 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isdigit.c' 2024-01-19 02:41:24,263 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isdigit_l.c' 2024-01-19 02:41:24,263 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/islower.c' 2024-01-19 02:41:24,263 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/islower_l.c' 2024-01-19 02:41:24,264 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isprint.c' 2024-01-19 02:41:24,264 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isprint_l.c' 2024-01-19 02:41:24,264 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/ispunct.c' 2024-01-19 02:41:24,264 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/ispunct_l.c' 2024-01-19 02:41:24,265 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isspace.c' 2024-01-19 02:41:24,265 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isspace_l.c' 2024-01-19 02:41:24,265 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isupper.c' 2024-01-19 02:41:24,265 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isupper_l.c' 2024-01-19 02:41:24,266 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswalnum.c' 2024-01-19 02:41:24,266 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswalnum_l.c' 2024-01-19 02:41:24,266 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswalpha.c' 2024-01-19 02:41:24,267 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswalpha_l.c' 2024-01-19 02:41:24,267 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswblank.c' 2024-01-19 02:41:24,267 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswblank_l.c' 2024-01-19 02:41:24,267 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswcntrl.c' 2024-01-19 02:41:24,268 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswcntrl_l.c' 2024-01-19 02:41:24,268 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswctype.c' 2024-01-19 02:41:24,268 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswctype_l.c' 2024-01-19 02:41:24,269 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswdigit.c' 2024-01-19 02:41:24,269 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswdigit_l.c' 2024-01-19 02:41:24,269 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswgraph.c' 2024-01-19 02:41:24,269 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswgraph_l.c' 2024-01-19 02:41:24,270 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswlower.c' 2024-01-19 02:41:24,270 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswlower_l.c' 2024-01-19 02:41:24,270 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswprint.c' 2024-01-19 02:41:24,271 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswprint_l.c' 2024-01-19 02:41:24,271 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswpunct.c' 2024-01-19 02:41:24,271 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswpunct_l.c' 2024-01-19 02:41:24,272 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswspace.c' 2024-01-19 02:41:24,272 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswspace_l.c' 2024-01-19 02:41:24,272 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswupper.c' 2024-01-19 02:41:24,272 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswupper_l.c' 2024-01-19 02:41:24,273 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswxdigit.c' 2024-01-19 02:41:24,273 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/iswxdigit_l.c' 2024-01-19 02:41:24,273 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isxdigit.c' 2024-01-19 02:41:24,274 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/isxdigit_l.c' 2024-01-19 02:41:24,274 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/jp2uc.c' 2024-01-19 02:41:24,274 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/jp2uc.h' 2024-01-19 02:41:24,275 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/local.h' 2024-01-19 02:41:24,275 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/meson.build' 2024-01-19 02:41:24,276 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/mkcaseconv' 2024-01-19 02:41:24,276 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/mkcategories' 2024-01-19 02:41:24,276 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/mkunidata' 2024-01-19 02:41:24,277 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/toascii.c' 2024-01-19 02:41:24,277 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/toascii_l.c' 2024-01-19 02:41:24,277 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/tolower.c' 2024-01-19 02:41:24,277 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/tolower_l.c' 2024-01-19 02:41:24,278 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/toupper.c' 2024-01-19 02:41:24,278 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/toupper_l.c' 2024-01-19 02:41:24,278 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/towctrans.c' 2024-01-19 02:41:24,279 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/towctrans_l.c' 2024-01-19 02:41:24,279 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/towlower.c' 2024-01-19 02:41:24,279 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/towlower_l.c' 2024-01-19 02:41:24,279 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/towupper.c' 2024-01-19 02:41:24,280 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/towupper_l.c' 2024-01-19 02:41:24,280 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/wctrans.c' 2024-01-19 02:41:24,280 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/wctrans_l.c' 2024-01-19 02:41:24,281 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/wctype.c' 2024-01-19 02:41:24,281 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ctype/wctype_l.c' 2024-01-19 02:41:24,281 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/errno/CMakeLists.txt' 2024-01-19 02:41:24,281 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/errno/errno.c' 2024-01-19 02:41:24,282 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/errno/meson.build' 2024-01-19 02:41:24,282 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/CMakeLists.txt' 2024-01-19 02:41:24,282 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/encoding.aliases' 2024-01-19 02:41:24,283 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/iconv.tex' 2024-01-19 02:41:24,283 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/meson.build' 2024-01-19 02:41:24,284 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/CMakeLists.txt' 2024-01-19 02:41:24,286 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/big5.c' 2024-01-19 02:41:24,290 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccs.h' 2024-01-19 02:41:24,290 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsbi.c' 2024-01-19 02:41:24,291 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsbi.h' 2024-01-19 02:41:24,291 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ccsnames.h' 2024-01-19 02:41:24,293 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane1.c' 2024-01-19 02:41:24,298 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane14.c' 2024-01-19 02:41:24,303 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cns11643_plane2.c' 2024-01-19 02:41:24,306 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp775.c' 2024-01-19 02:41:24,307 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp850.c' 2024-01-19 02:41:24,307 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp852.c' 2024-01-19 02:41:24,308 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp855.c' 2024-01-19 02:41:24,308 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/cp866.c' 2024-01-19 02:41:24,308 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_1.c' 2024-01-19 02:41:24,309 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_10.c' 2024-01-19 02:41:24,309 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_11.c' 2024-01-19 02:41:24,310 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_13.c' 2024-01-19 02:41:24,310 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_14.c' 2024-01-19 02:41:24,310 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_15.c' 2024-01-19 02:41:24,311 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_2.c' 2024-01-19 02:41:24,311 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_3.c' 2024-01-19 02:41:24,311 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_4.c' 2024-01-19 02:41:24,312 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_5.c' 2024-01-19 02:41:24,312 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_6.c' 2024-01-19 02:41:24,312 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_7.c' 2024-01-19 02:41:24,313 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_8.c' 2024-01-19 02:41:24,313 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_8859_9.c' 2024-01-19 02:41:24,314 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/iso_ir_111.c' 2024-01-19 02:41:24,314 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0201_1976.c' 2024-01-19 02:41:24,316 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0208_1990.c' 2024-01-19 02:41:24,321 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/jis_x0212_1990.c' 2024-01-19 02:41:24,325 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_r.c' 2024-01-19 02:41:24,325 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_ru.c' 2024-01-19 02:41:24,326 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_u.c' 2024-01-19 02:41:24,326 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/koi8_uni.c' 2024-01-19 02:41:24,329 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/ksx1001.c' 2024-01-19 02:41:24,333 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/meson.build' 2024-01-19 02:41:24,334 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/mktbl.pl' 2024-01-19 02:41:24,334 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1250.c' 2024-01-19 02:41:24,335 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1251.c' 2024-01-19 02:41:24,335 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1252.c' 2024-01-19 02:41:24,335 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1253.c' 2024-01-19 02:41:24,336 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1254.c' 2024-01-19 02:41:24,336 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1255.c' 2024-01-19 02:41:24,337 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1256.c' 2024-01-19 02:41:24,337 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1257.c' 2024-01-19 02:41:24,337 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/win_1258.c' 2024-01-19 02:41:24,338 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/CMakeLists.txt' 2024-01-19 02:41:24,339 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/big5.cct' 2024-01-19 02:41:24,342 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane1.cct' 2024-01-19 02:41:24,344 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane14.cct' 2024-01-19 02:41:24,346 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cns11643_plane2.cct' 2024-01-19 02:41:24,348 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp775.cct' 2024-01-19 02:41:24,349 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp850.cct' 2024-01-19 02:41:24,349 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp852.cct' 2024-01-19 02:41:24,349 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp855.cct' 2024-01-19 02:41:24,350 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/cp866.cct' 2024-01-19 02:41:24,350 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_1.cct' 2024-01-19 02:41:24,350 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_10.cct' 2024-01-19 02:41:24,351 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_11.cct' 2024-01-19 02:41:24,351 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_13.cct' 2024-01-19 02:41:24,351 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_14.cct' 2024-01-19 02:41:24,351 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_15.cct' 2024-01-19 02:41:24,352 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_2.cct' 2024-01-19 02:41:24,352 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_3.cct' 2024-01-19 02:41:24,352 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_4.cct' 2024-01-19 02:41:24,353 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_5.cct' 2024-01-19 02:41:24,353 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_6.cct' 2024-01-19 02:41:24,353 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_7.cct' 2024-01-19 02:41:24,354 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_8.cct' 2024-01-19 02:41:24,354 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_8859_9.cct' 2024-01-19 02:41:24,354 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/iso_ir_111.cct' 2024-01-19 02:41:24,354 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0201_1976.cct' 2024-01-19 02:41:24,356 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0208_1990.cct' 2024-01-19 02:41:24,358 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/jis_x0212_1990.cct' 2024-01-19 02:41:24,359 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_r.cct' 2024-01-19 02:41:24,360 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_ru.cct' 2024-01-19 02:41:24,360 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_u.cct' 2024-01-19 02:41:24,360 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/koi8_uni.cct' 2024-01-19 02:41:24,362 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/ksx1001.cct' 2024-01-19 02:41:24,364 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/meson.build' 2024-01-19 02:41:24,364 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1250.cct' 2024-01-19 02:41:24,364 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1251.cct' 2024-01-19 02:41:24,365 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1252.cct' 2024-01-19 02:41:24,365 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1253.cct' 2024-01-19 02:41:24,365 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1254.cct' 2024-01-19 02:41:24,366 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1255.cct' 2024-01-19 02:41:24,366 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1256.cct' 2024-01-19 02:41:24,366 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1257.cct' 2024-01-19 02:41:24,367 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ccs/binary/win_1258.cct' 2024-01-19 02:41:24,367 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/CMakeLists.txt' 2024-01-19 02:41:24,367 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesbi.c' 2024-01-19 02:41:24,368 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesbi.h' 2024-01-19 02:41:24,368 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/cesdeps.h' 2024-01-19 02:41:24,368 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/euc.c' 2024-01-19 02:41:24,369 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/meson.build' 2024-01-19 02:41:24,369 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/mkdeps.pl' 2024-01-19 02:41:24,370 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/table-pcs.c' 2024-01-19 02:41:24,370 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/table.c' 2024-01-19 02:41:24,370 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-2-internal.c' 2024-01-19 02:41:24,371 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-2.c' 2024-01-19 02:41:24,371 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-4-internal.c' 2024-01-19 02:41:24,371 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/ucs-4.c' 2024-01-19 02:41:24,372 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/us-ascii.c' 2024-01-19 02:41:24,372 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/utf-16.c' 2024-01-19 02:41:24,372 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/ces/utf-8.c' 2024-01-19 02:41:24,373 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/CMakeLists.txt' 2024-01-19 02:41:24,373 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/aliasesbi.c' 2024-01-19 02:41:24,373 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/aliasesi.c' 2024-01-19 02:41:24,374 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/conv.h' 2024-01-19 02:41:24,374 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/encnames.h' 2024-01-19 02:41:24,374 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/encoding.deps' 2024-01-19 02:41:24,375 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/endian.h' 2024-01-19 02:41:24,375 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconv.c' 2024-01-19 02:41:24,375 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconvnls.c' 2024-01-19 02:41:24,376 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/iconvnls.h' 2024-01-19 02:41:24,376 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/local.h' 2024-01-19 02:41:24,376 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/meson.build' 2024-01-19 02:41:24,377 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/nullconv.c' 2024-01-19 02:41:24,377 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/ucsconv.c' 2024-01-19 02:41:24,377 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/iconv/lib/ucsconv.h' 2024-01-19 02:41:24,378 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/CMakeLists.txt' 2024-01-19 02:41:24,378 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/_ansi.h' 2024-01-19 02:41:24,378 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/_newlib_version.h' 2024-01-19 02:41:24,379 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/_syslist.h' 2024-01-19 02:41:24,379 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/alloca.h' 2024-01-19 02:41:24,379 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ar.h' 2024-01-19 02:41:24,380 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/argz.h' 2024-01-19 02:41:24,380 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/assert.h' 2024-01-19 02:41:24,380 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/byteswap.h' 2024-01-19 02:41:24,381 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/complex.h' 2024-01-19 02:41:24,381 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/cpio.h' 2024-01-19 02:41:24,381 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ctype.h' 2024-01-19 02:41:24,381 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/devctl.h' 2024-01-19 02:41:24,382 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/dirent.h' 2024-01-19 02:41:24,382 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/elf.h' 2024-01-19 02:41:24,383 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/endian.h' 2024-01-19 02:41:24,383 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/envlock.h' 2024-01-19 02:41:24,384 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/envz.h' 2024-01-19 02:41:24,384 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/errno.h' 2024-01-19 02:41:24,384 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/fastmath.h' 2024-01-19 02:41:24,384 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/fcntl.h' 2024-01-19 02:41:24,385 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/fenv.h' 2024-01-19 02:41:24,385 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/fnmatch.h' 2024-01-19 02:41:24,385 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ftw.h' 2024-01-19 02:41:24,386 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/getopt.h' 2024-01-19 02:41:24,386 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/glob.h' 2024-01-19 02:41:24,386 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/grp.h' 2024-01-19 02:41:24,387 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/iconv.h' 2024-01-19 02:41:24,387 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ieeefp.h' 2024-01-19 02:41:24,387 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/inttypes.h' 2024-01-19 02:41:24,388 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/langinfo.h' 2024-01-19 02:41:24,388 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/libgen.h' 2024-01-19 02:41:24,388 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/limits.h' 2024-01-19 02:41:24,388 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/locale.h' 2024-01-19 02:41:24,389 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/malloc.h' 2024-01-19 02:41:24,389 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/math.h' 2024-01-19 02:41:24,390 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/memory.h' 2024-01-19 02:41:24,390 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/meson.build' 2024-01-19 02:41:24,390 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ndbm.h' 2024-01-19 02:41:24,390 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/newlib.h' 2024-01-19 02:41:24,391 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/paths.h' 2024-01-19 02:41:24,391 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/picotls.h' 2024-01-19 02:41:24,391 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/pwd.h' 2024-01-19 02:41:24,392 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/regdef.h' 2024-01-19 02:41:24,392 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/regex.h' 2024-01-19 02:41:24,392 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sched.h' 2024-01-19 02:41:24,392 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/search.h' 2024-01-19 02:41:24,393 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/setjmp.h' 2024-01-19 02:41:24,393 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/signal.h' 2024-01-19 02:41:24,393 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/spawn.h' 2024-01-19 02:41:24,394 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/stdint.h' 2024-01-19 02:41:24,394 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/stdlib.h' 2024-01-19 02:41:24,394 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/string.h' 2024-01-19 02:41:24,395 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/strings.h' 2024-01-19 02:41:24,395 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/tar.h' 2024-01-19 02:41:24,395 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/termios.h' 2024-01-19 02:41:24,396 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/threads.h' 2024-01-19 02:41:24,396 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/time.h' 2024-01-19 02:41:24,396 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/unctrl.h' 2024-01-19 02:41:24,397 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/unistd.h' 2024-01-19 02:41:24,397 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/utime.h' 2024-01-19 02:41:24,397 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/utmp.h' 2024-01-19 02:41:24,397 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/wchar.h' 2024-01-19 02:41:24,398 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/wctype.h' 2024-01-19 02:41:24,398 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/wordexp.h' 2024-01-19 02:41:24,398 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/arpa/CMakeLists.txt' 2024-01-19 02:41:24,399 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/arpa/inet.h' 2024-01-19 02:41:24,399 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/arpa/meson.build' 2024-01-19 02:41:24,399 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/bits/types/mbstate_t.h' 2024-01-19 02:41:24,400 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/CMakeLists.txt' 2024-01-19 02:41:24,400 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/_arc4random.h' 2024-01-19 02:41:24,400 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/_default_types.h' 2024-01-19 02:41:24,401 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/_endian.h' 2024-01-19 02:41:24,401 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/_time.h' 2024-01-19 02:41:24,401 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/_types.h' 2024-01-19 02:41:24,401 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/ansi.h' 2024-01-19 02:41:24,402 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/endian.h' 2024-01-19 02:41:24,402 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/fastmath.h' 2024-01-19 02:41:24,402 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/ieee.h' 2024-01-19 02:41:24,403 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/ieeefp.h' 2024-01-19 02:41:24,403 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/malloc.h' 2024-01-19 02:41:24,403 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/math.h' 2024-01-19 02:41:24,404 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/meson.build' 2024-01-19 02:41:24,404 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/param.h' 2024-01-19 02:41:24,404 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/setjmp-dj.h' 2024-01-19 02:41:24,404 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/setjmp.h' 2024-01-19 02:41:24,405 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/stdlib.h' 2024-01-19 02:41:24,405 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/termios.h' 2024-01-19 02:41:24,405 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/time.h' 2024-01-19 02:41:24,406 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/machine/types.h' 2024-01-19 02:41:24,406 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/rpc/CMakeLists.txt' 2024-01-19 02:41:24,406 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/rpc/meson.build' 2024-01-19 02:41:24,407 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/rpc/types.h' 2024-01-19 02:41:24,407 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/rpc/xdr.h' 2024-01-19 02:41:24,407 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/CMakeLists.txt' 2024-01-19 02:41:24,408 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/meson.build' 2024-01-19 02:41:24,408 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/ssp.h' 2024-01-19 02:41:24,408 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/stdio.h' 2024-01-19 02:41:24,408 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/stdlib.h' 2024-01-19 02:41:24,409 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/string.h' 2024-01-19 02:41:24,409 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/strings.h' 2024-01-19 02:41:24,409 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/unistd.h' 2024-01-19 02:41:24,410 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/ssp/wchar.h' 2024-01-19 02:41:24,410 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/CMakeLists.txt' 2024-01-19 02:41:24,410 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_default_fcntl.h' 2024-01-19 02:41:24,411 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_intsup.h' 2024-01-19 02:41:24,411 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_locale.h' 2024-01-19 02:41:24,411 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_sigset.h' 2024-01-19 02:41:24,412 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_stdint.h' 2024-01-19 02:41:24,412 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_timespec.h' 2024-01-19 02:41:24,412 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_timeval.h' 2024-01-19 02:41:24,413 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_types.h' 2024-01-19 02:41:24,413 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/_tz_structs.h' 2024-01-19 02:41:24,413 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/auxv.h' 2024-01-19 02:41:24,414 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/cdefs.h' 2024-01-19 02:41:24,414 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/config.h' 2024-01-19 02:41:24,414 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/custom_file.h' 2024-01-19 02:41:24,415 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/dir.h' 2024-01-19 02:41:24,415 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/dirent.h' 2024-01-19 02:41:24,415 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/errno.h' 2024-01-19 02:41:24,416 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/fcntl.h' 2024-01-19 02:41:24,416 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/features.h' 2024-01-19 02:41:24,416 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/fenv.h' 2024-01-19 02:41:24,417 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/file.h' 2024-01-19 02:41:24,417 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/iconvnls.h' 2024-01-19 02:41:24,417 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/lock.h' 2024-01-19 02:41:24,417 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/meson.build' 2024-01-19 02:41:24,418 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/param.h' 2024-01-19 02:41:24,418 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/queue.h' 2024-01-19 02:41:24,419 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/resource.h' 2024-01-19 02:41:24,419 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/sched.h' 2024-01-19 02:41:24,419 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/select.h' 2024-01-19 02:41:24,420 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/signal.h' 2024-01-19 02:41:24,420 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/stat.h' 2024-01-19 02:41:24,420 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/string.h' 2024-01-19 02:41:24,421 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/syslimits.h' 2024-01-19 02:41:24,421 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/time.h' 2024-01-19 02:41:24,421 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/timeb.h' 2024-01-19 02:41:24,422 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/times.h' 2024-01-19 02:41:24,422 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/timespec.h' 2024-01-19 02:41:24,422 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/tree.h' 2024-01-19 02:41:24,423 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/types.h' 2024-01-19 02:41:24,423 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/unistd.h' 2024-01-19 02:41:24,423 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/utime.h' 2024-01-19 02:41:24,424 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/include/sys/wait.h' 2024-01-19 02:41:24,424 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/CMakeLists.txt' 2024-01-19 02:41:24,424 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/duplocale.c' 2024-01-19 02:41:24,425 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/freelocale.c' 2024-01-19 02:41:24,425 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/lctype.c' 2024-01-19 02:41:24,425 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/lmessages.c' 2024-01-19 02:41:24,426 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/lmonetary.c' 2024-01-19 02:41:24,426 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/lnumeric.c' 2024-01-19 02:41:24,426 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/locale.c' 2024-01-19 02:41:24,427 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/locale.tex' 2024-01-19 02:41:24,427 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/localeconv.c' 2024-01-19 02:41:24,427 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/meson.build' 2024-01-19 02:41:24,428 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/newlocale.c' 2024-01-19 02:41:24,428 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/nl_langinfo.3' 2024-01-19 02:41:24,428 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/nl_langinfo.c' 2024-01-19 02:41:24,429 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/setlocale.h' 2024-01-19 02:41:24,429 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/timelocal.c' 2024-01-19 02:41:24,430 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/locale/uselocale.c' 2024-01-19 02:41:24,430 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/CMakeLists.txt' 2024-01-19 02:41:24,430 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/CMakeLists.txt' 2024-01-19 02:41:24,431 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memchr-stub.c' 2024-01-19 02:41:24,431 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memchr.S' 2024-01-19 02:41:24,431 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcmp-stub.c' 2024-01-19 02:41:24,432 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcmp.S' 2024-01-19 02:41:24,432 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcpy-stub.c' 2024-01-19 02:41:24,432 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memcpy.S' 2024-01-19 02:41:24,433 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memmove-stub.c' 2024-01-19 02:41:24,433 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memmove.S' 2024-01-19 02:41:24,433 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memset-stub.c' 2024-01-19 02:41:24,433 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/memset.S' 2024-01-19 02:41:24,434 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/meson.build' 2024-01-19 02:41:24,434 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/rawmemchr-stub.c' 2024-01-19 02:41:24,434 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/rawmemchr.S' 2024-01-19 02:41:24,435 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/setjmp.S' 2024-01-19 02:41:24,435 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/stpcpy-stub.c' 2024-01-19 02:41:24,436 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/stpcpy.S' 2024-01-19 02:41:24,437 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchr-stub.c' 2024-01-19 02:41:24,437 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchr.S' 2024-01-19 02:41:24,437 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchrnul-stub.c' 2024-01-19 02:41:24,438 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strchrnul.S' 2024-01-19 02:41:24,438 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcmp-stub.c' 2024-01-19 02:41:24,438 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcmp.S' 2024-01-19 02:41:24,439 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcpy-stub.c' 2024-01-19 02:41:24,439 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strcpy.S' 2024-01-19 02:41:24,439 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strlen-stub.c' 2024-01-19 02:41:24,440 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strlen.S' 2024-01-19 02:41:24,440 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strncmp-stub.c' 2024-01-19 02:41:24,440 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strncmp.S' 2024-01-19 02:41:24,441 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strnlen-stub.c' 2024-01-19 02:41:24,441 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strnlen.S' 2024-01-19 02:41:24,441 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strrchr-stub.c' 2024-01-19 02:41:24,442 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/strrchr.S' 2024-01-19 02:41:24,442 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/CMakeLists.txt' 2024-01-19 02:41:24,442 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/_types.h' 2024-01-19 02:41:24,443 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/fenv-fp.h' 2024-01-19 02:41:24,443 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/math.h' 2024-01-19 02:41:24,443 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/machine/meson.build' 2024-01-19 02:41:24,444 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/CMakeLists.txt' 2024-01-19 02:41:24,444 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/fcntl.h' 2024-01-19 02:41:24,444 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/fenv.h' 2024-01-19 02:41:24,444 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/aarch64/sys/meson.build' 2024-01-19 02:41:24,445 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/abort.c' 2024-01-19 02:41:24,445 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/atexit.c' 2024-01-19 02:41:24,445 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/exit-value.h' 2024-01-19 02:41:24,446 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/exit.c' 2024-01-19 02:41:24,446 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/getreent.c' 2024-01-19 02:41:24,446 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/mlock.c' 2024-01-19 02:41:24,447 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/amdgcn/signal.c' 2024-01-19 02:41:24,447 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/CMakeLists.txt' 2024-01-19 02:41:24,447 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/asm.h' 2024-01-19 02:41:24,448 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp-bs-norm.S' 2024-01-19 02:41:24,448 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp-stub.c' 2024-01-19 02:41:24,448 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memcmp.S' 2024-01-19 02:41:24,449 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-archs.S' 2024-01-19 02:41:24,449 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-bs.S' 2024-01-19 02:41:24,449 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy-stub.c' 2024-01-19 02:41:24,449 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memcpy.S' 2024-01-19 02:41:24,450 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-archs.S' 2024-01-19 02:41:24,450 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-bs.S' 2024-01-19 02:41:24,450 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memset-stub.c' 2024-01-19 02:41:24,451 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/memset.S' 2024-01-19 02:41:24,451 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/meson.build' 2024-01-19 02:41:24,451 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/setjmp.S' 2024-01-19 02:41:24,452 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-bs-norm.S' 2024-01-19 02:41:24,452 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-bs.S' 2024-01-19 02:41:24,452 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr-stub.c' 2024-01-19 02:41:24,453 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strchr.S' 2024-01-19 02:41:24,453 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp-archs.S' 2024-01-19 02:41:24,453 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp-stub.c' 2024-01-19 02:41:24,453 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strcmp.S' 2024-01-19 02:41:24,454 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-bs-arc600.S' 2024-01-19 02:41:24,454 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-bs.S' 2024-01-19 02:41:24,454 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy-stub.c' 2024-01-19 02:41:24,455 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strcpy.S' 2024-01-19 02:41:24,455 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-bs-norm.S' 2024-01-19 02:41:24,455 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-bs.S' 2024-01-19 02:41:24,456 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen-stub.c' 2024-01-19 02:41:24,456 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strlen.S' 2024-01-19 02:41:24,456 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy-bs.S' 2024-01-19 02:41:24,456 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy-stub.c' 2024-01-19 02:41:24,457 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arc/strncpy.S' 2024-01-19 02:41:24,457 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/CMakeLists.txt' 2024-01-19 02:41:24,458 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/acle-compat.h' 2024-01-19 02:41:24,458 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/aeabi_memcpy-armv7a.S' 2024-01-19 02:41:24,458 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/aeabi_memset.c' 2024-01-19 02:41:24,459 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/arm_asm.h' 2024-01-19 02:41:24,459 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/bzero.c' 2024-01-19 02:41:24,459 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memchr.S' 2024-01-19 02:41:24,459 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memchr.c' 2024-01-19 02:41:24,460 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy-armv7a.S' 2024-01-19 02:41:24,460 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy-armv7m.S' 2024-01-19 02:41:24,461 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy.S' 2024-01-19 02:41:24,461 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memcpy.c' 2024-01-19 02:41:24,461 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memmove.c' 2024-01-19 02:41:24,461 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-arm.S' 2024-01-19 02:41:24,462 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-thumb.S' 2024-01-19 02:41:24,462 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memset-thumb2.S' 2024-01-19 02:41:24,462 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memset.S' 2024-01-19 02:41:24,463 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/memset.c' 2024-01-19 02:41:24,463 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/meson.build' 2024-01-19 02:41:24,463 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/setjmp.S' 2024-01-19 02:41:24,463 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-arm-tiny.S' 2024-01-19 02:41:24,464 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv4.S' 2024-01-19 02:41:24,464 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv4t.S' 2024-01-19 02:41:24,464 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv6.S' 2024-01-19 02:41:24,465 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv6m.S' 2024-01-19 02:41:24,465 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv7.S' 2024-01-19 02:41:24,466 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp-armv7m.S' 2024-01-19 02:41:24,466 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcmp.S' 2024-01-19 02:41:24,466 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strcpy.S' 2024-01-19 02:41:24,467 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-armv7.S' 2024-01-19 02:41:24,467 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-thumb1-Os.S' 2024-01-19 02:41:24,467 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen-thumb2-Os.S' 2024-01-19 02:41:24,467 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen.S' 2024-01-19 02:41:24,468 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/strlen.c' 2024-01-19 02:41:24,468 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/CMakeLists.txt' 2024-01-19 02:41:24,468 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/_endian.h' 2024-01-19 02:41:24,469 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/acle-compat.h' 2024-01-19 02:41:24,469 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/math.h' 2024-01-19 02:41:24,469 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/meson.build' 2024-01-19 02:41:24,470 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/machine/param.h' 2024-01-19 02:41:24,470 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/CMakeLists.txt' 2024-01-19 02:41:24,470 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/fenv.h' 2024-01-19 02:41:24,471 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/arm/sys/meson.build' 2024-01-19 02:41:24,471 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/bfin/longjmp.S' 2024-01-19 02:41:24,471 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/bfin/setjmp.S' 2024-01-19 02:41:24,472 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cr16/getenv.c' 2024-01-19 02:41:24,472 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cr16/setjmp.S' 2024-01-19 02:41:24,472 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/asm.h' 2024-01-19 02:41:24,473 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/libh.h' 2024-01-19 02:41:24,473 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cr16/sys/syscall.h' 2024-01-19 02:41:24,474 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/libcdtor.c' 2024-01-19 02:41:24,474 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/memcpy.c' 2024-01-19 02:41:24,474 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/memmove.c' 2024-01-19 02:41:24,475 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/memset.c' 2024-01-19 02:41:24,475 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/setjmp.c' 2024-01-19 02:41:24,475 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/include/pthread.h' 2024-01-19 02:41:24,476 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/errno.h' 2024-01-19 02:41:24,476 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/fcntl.h' 2024-01-19 02:41:24,476 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/cris/sys/signal.h' 2024-01-19 02:41:24,477 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/crx/getenv.c' 2024-01-19 02:41:24,477 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/crx/setjmp.S' 2024-01-19 02:41:24,478 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/asm.h' 2024-01-19 02:41:24,478 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/libh.h' 2024-01-19 02:41:24,478 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/crx/sys/syscall.h' 2024-01-19 02:41:24,479 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/csky/meson.build' 2024-01-19 02:41:24,479 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/csky/setjmp.S' 2024-01-19 02:41:24,479 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/d10v/setjmp.S' 2024-01-19 02:41:24,480 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/d30v/setjmp.S' 2024-01-19 02:41:24,480 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/epiphany/setjmp.S' 2024-01-19 02:41:24,480 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/fr30/setjmp.S' 2024-01-19 02:41:24,481 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/frv/setjmp.S' 2024-01-19 02:41:24,481 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/ft32/memcpy.S' 2024-01-19 02:41:24,481 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/ft32/memset.S' 2024-01-19 02:41:24,481 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/ft32/setjmp.S' 2024-01-19 02:41:24,482 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/ft32/stpcmp.S' 2024-01-19 02:41:24,482 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/ft32/strcmp.S' 2024-01-19 02:41:24,482 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/ft32/strcpy.S' 2024-01-19 02:41:24,483 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/ft32/strlen.S' 2024-01-19 02:41:24,483 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/defines.h' 2024-01-19 02:41:24,483 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/h8sx_strcpy.S' 2024-01-19 02:41:24,484 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/memcpy.S' 2024-01-19 02:41:24,484 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/memset.S' 2024-01-19 02:41:24,484 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/reg_memcpy.S' 2024-01-19 02:41:24,484 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/reg_memset.S' 2024-01-19 02:41:24,485 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/setarch.h' 2024-01-19 02:41:24,485 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/setjmp.S' 2024-01-19 02:41:24,485 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8300/strcmp.S' 2024-01-19 02:41:24,486 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/cmpsi.c' 2024-01-19 02:41:24,486 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/divhi3.S' 2024-01-19 02:41:24,486 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/divsi3.c' 2024-01-19 02:41:24,486 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/mulhi3.c' 2024-01-19 02:41:24,487 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/mulsi3.c' 2024-01-19 02:41:24,487 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/negsi2.c' 2024-01-19 02:41:24,487 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/psi.S' 2024-01-19 02:41:24,488 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/setjmp.S' 2024-01-19 02:41:24,488 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/h8500/shifts.c' 2024-01-19 02:41:24,488 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/DEFS.h' 2024-01-19 02:41:24,489 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/memchr.S' 2024-01-19 02:41:24,489 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/memcmp.S' 2024-01-19 02:41:24,489 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/memcpy.S' 2024-01-19 02:41:24,490 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/memset.S' 2024-01-19 02:41:24,490 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/pcc_prefix.s' 2024-01-19 02:41:24,490 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/setjmp.S' 2024-01-19 02:41:24,491 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcat.S' 2024-01-19 02:41:24,491 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcmp.S' 2024-01-19 02:41:24,491 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/strcpy.S' 2024-01-19 02:41:24,492 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/strlen.S' 2024-01-19 02:41:24,492 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncat.S' 2024-01-19 02:41:24,492 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncmp.S' 2024-01-19 02:41:24,493 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/hppa/strncpy.S' 2024-01-19 02:41:24,493 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memccpy.S' 2024-01-19 02:41:24,494 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memccpy_ca.S' 2024-01-19 02:41:24,494 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memchr.S' 2024-01-19 02:41:24,494 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memchr_ca.S' 2024-01-19 02:41:24,495 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memcmp.S' 2024-01-19 02:41:24,495 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memcmp_ca.S' 2024-01-19 02:41:24,495 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memcpy.S' 2024-01-19 02:41:24,496 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memcpy_ca.S' 2024-01-19 02:41:24,496 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/memset.S' 2024-01-19 02:41:24,496 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/setjmp.S' 2024-01-19 02:41:24,497 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strchr.S' 2024-01-19 02:41:24,497 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strchr_ca.S' 2024-01-19 02:41:24,497 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strcmp.S' 2024-01-19 02:41:24,498 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strcmp_ca.S' 2024-01-19 02:41:24,498 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strcpy.S' 2024-01-19 02:41:24,498 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strcpy_ca.S' 2024-01-19 02:41:24,499 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strcspn.S' 2024-01-19 02:41:24,499 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strdup.S' 2024-01-19 02:41:24,499 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strlen.S' 2024-01-19 02:41:24,500 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strlen_ca.S' 2024-01-19 02:41:24,500 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strncat.S' 2024-01-19 02:41:24,500 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strncat_ca.S' 2024-01-19 02:41:24,501 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strncmp.S' 2024-01-19 02:41:24,501 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strncmp_ca.S' 2024-01-19 02:41:24,501 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strncpy.S' 2024-01-19 02:41:24,502 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strncpy_ca.S' 2024-01-19 02:41:24,502 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strpbrk.S' 2024-01-19 02:41:24,502 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/i960/strrchr.S' 2024-01-19 02:41:24,503 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/iq2000/setjmp.S' 2024-01-19 02:41:24,503 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/lm32/setjmp.S' 2024-01-19 02:41:24,503 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m32c/setjmp.S' 2024-01-19 02:41:24,504 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m32r/setjmp.S' 2024-01-19 02:41:24,504 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68hc11/setjmp.S' 2024-01-19 02:41:24,504 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68k/m68kasm.h' 2024-01-19 02:41:24,505 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68k/memcpy.S' 2024-01-19 02:41:24,505 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68k/memset.S' 2024-01-19 02:41:24,505 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68k/meson.build' 2024-01-19 02:41:24,506 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68k/setjmp.S' 2024-01-19 02:41:24,506 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68k/strcpy.c' 2024-01-19 02:41:24,506 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m68k/strlen.c' 2024-01-19 02:41:24,506 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/m88k/setjmp.S' 2024-01-19 02:41:24,507 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mep/setjmp.S' 2024-01-19 02:41:24,507 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/microblaze/abort.c' 2024-01-19 02:41:24,507 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/microblaze/longjmp.S' 2024-01-19 02:41:24,508 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/microblaze/mb_endian.h' 2024-01-19 02:41:24,508 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/microblaze/setjmp.S' 2024-01-19 02:41:24,508 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strcmp.c' 2024-01-19 02:41:24,509 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strcpy.c' 2024-01-19 02:41:24,509 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/microblaze/strlen.c' 2024-01-19 02:41:24,509 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/CMakeLists.txt' 2024-01-19 02:41:24,510 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/memcpy.S' 2024-01-19 02:41:24,510 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/memset.S' 2024-01-19 02:41:24,511 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/meson.build' 2024-01-19 02:41:24,511 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/setjmp.S' 2024-01-19 02:41:24,511 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/strcmp.S' 2024-01-19 02:41:24,512 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/strlen.c' 2024-01-19 02:41:24,512 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/strncpy.c' 2024-01-19 02:41:24,512 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/CMakeLists.txt' 2024-01-19 02:41:24,513 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/asm.h' 2024-01-19 02:41:24,513 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/fenv-fp.h' 2024-01-19 02:41:24,513 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/fenv-softfloat.h' 2024-01-19 02:41:24,514 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/meson.build' 2024-01-19 02:41:24,514 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/machine/regdef.h' 2024-01-19 02:41:24,514 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/CMakeLists.txt' 2024-01-19 02:41:24,515 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/fenv.h' 2024-01-19 02:41:24,515 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mips/sys/meson.build' 2024-01-19 02:41:24,515 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10200/setjmp.S' 2024-01-19 02:41:24,516 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memchr.S' 2024-01-19 02:41:24,516 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memcmp.S' 2024-01-19 02:41:24,516 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memcpy.S' 2024-01-19 02:41:24,517 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/memset.S' 2024-01-19 02:41:24,517 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/setjmp.S' 2024-01-19 02:41:24,517 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strchr.S' 2024-01-19 02:41:24,517 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strcmp.S' 2024-01-19 02:41:24,518 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strcpy.S' 2024-01-19 02:41:24,518 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mn10300/strlen.S' 2024-01-19 02:41:24,518 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/moxie/setjmp.S' 2024-01-19 02:41:24,519 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/msp430/meson.build' 2024-01-19 02:41:24,519 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/msp430/setjmp.S' 2024-01-19 02:41:24,519 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/mt/setjmp.S' 2024-01-19 02:41:24,520 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nds32/abort.c' 2024-01-19 02:41:24,520 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nds32/memcpy.S' 2024-01-19 02:41:24,520 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nds32/memset.S' 2024-01-19 02:41:24,521 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nds32/setjmp.S' 2024-01-19 02:41:24,521 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nds32/strcmp.S' 2024-01-19 02:41:24,521 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nds32/strcpy.S' 2024-01-19 02:41:24,522 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/necv70/fastmath.S' 2024-01-19 02:41:24,522 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/necv70/necv70.tex' 2024-01-19 02:41:24,522 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/necv70/setjmp.S' 2024-01-19 02:41:24,523 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/necv70/machine/registers.h' 2024-01-19 02:41:24,523 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nios2/CMakeLists.txt' 2024-01-19 02:41:24,523 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nios2/meson.build' 2024-01-19 02:41:24,523 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nios2/setjmp.s' 2024-01-19 02:41:24,524 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/abort.c' 2024-01-19 02:41:24,524 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/assert.c' 2024-01-19 02:41:24,524 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/calloc.c' 2024-01-19 02:41:24,525 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/callocr.c' 2024-01-19 02:41:24,525 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/clock.c' 2024-01-19 02:41:24,525 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/exit.c' 2024-01-19 02:41:24,526 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/free.c' 2024-01-19 02:41:24,526 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/malloc.c' 2024-01-19 02:41:24,526 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/mallocr.c' 2024-01-19 02:41:24,526 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/misc.c' 2024-01-19 02:41:24,527 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/printf.c' 2024-01-19 02:41:24,527 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/putchar.c' 2024-01-19 02:41:24,527 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/puts.c' 2024-01-19 02:41:24,527 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/realloc.c' 2024-01-19 02:41:24,528 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/reallocr.c' 2024-01-19 02:41:24,528 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/nvptx/write.c' 2024-01-19 02:41:24,528 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/or1k/setjmp.S' 2024-01-19 02:41:24,529 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/powerpc/meson.build' 2024-01-19 02:41:24,529 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/powerpc/setjmp.S' 2024-01-19 02:41:24,529 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/powerpc/machine/fenv-fp.h' 2024-01-19 02:41:24,530 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/powerpc/sys/fenv.h' 2024-01-19 02:41:24,530 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/pru/setjmp.s' 2024-01-19 02:41:24,531 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/CMakeLists.txt' 2024-01-19 02:41:24,531 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/ieeefp.c' 2024-01-19 02:41:24,531 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/memcpy-asm.S' 2024-01-19 02:41:24,531 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/memcpy.c' 2024-01-19 02:41:24,532 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/memmove-stub.c' 2024-01-19 02:41:24,532 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/memmove.S' 2024-01-19 02:41:24,532 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/memset.S' 2024-01-19 02:41:24,533 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/meson.build' 2024-01-19 02:41:24,533 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/setjmp.S' 2024-01-19 02:41:24,533 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/strcmp.S' 2024-01-19 02:41:24,533 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/strcpy.c' 2024-01-19 02:41:24,534 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/strlen.c' 2024-01-19 02:41:24,534 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/CMakeLists.txt' 2024-01-19 02:41:24,534 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/math.h' 2024-01-19 02:41:24,535 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/machine/meson.build' 2024-01-19 02:41:24,535 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/CMakeLists.txt' 2024-01-19 02:41:24,535 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/asm.h' 2024-01-19 02:41:24,536 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/fenv.h' 2024-01-19 02:41:24,536 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/meson.build' 2024-01-19 02:41:24,536 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/riscv/sys/string.h' 2024-01-19 02:41:24,537 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rl78/setjmp.S' 2024-01-19 02:41:24,537 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/memchr.S' 2024-01-19 02:41:24,537 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/memcpy.S' 2024-01-19 02:41:24,538 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/memmove.S' 2024-01-19 02:41:24,538 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/mempcpy.S' 2024-01-19 02:41:24,538 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/memset.S' 2024-01-19 02:41:24,538 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/setjmp.S' 2024-01-19 02:41:24,539 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/strcat.S' 2024-01-19 02:41:24,539 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/strcmp.S' 2024-01-19 02:41:24,539 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/strcpy.S' 2024-01-19 02:41:24,539 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/strlen.S' 2024-01-19 02:41:24,540 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/strncat.S' 2024-01-19 02:41:24,540 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/strncmp.S' 2024-01-19 02:41:24,540 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/rx/strncpy.S' 2024-01-19 02:41:24,541 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/asm.h' 2024-01-19 02:41:24,541 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/memcpy.S' 2024-01-19 02:41:24,541 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/memset.S' 2024-01-19 02:41:24,542 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/setjmp.S' 2024-01-19 02:41:24,542 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/strcmp.S' 2024-01-19 02:41:24,542 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/strcpy.S' 2024-01-19 02:41:24,543 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/strlen.S' 2024-01-19 02:41:24,543 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sh/strncpy.S' 2024-01-19 02:41:24,543 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/CMakeLists.txt' 2024-01-19 02:41:24,544 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/meson.build' 2024-01-19 02:41:24,544 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/scan.c' 2024-01-19 02:41:24,544 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/setjmp.S' 2024-01-19 02:41:24,544 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/shuffle.c' 2024-01-19 02:41:24,545 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/CMakeLists.txt' 2024-01-19 02:41:24,545 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/meson.build' 2024-01-19 02:41:24,545 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/machine/sparclet.h' 2024-01-19 02:41:24,546 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/CMakeLists.txt' 2024-01-19 02:41:24,546 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/fenv.h' 2024-01-19 02:41:24,546 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/sparc/sys/meson.build' 2024-01-19 02:41:24,547 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/assert.c' 2024-01-19 02:41:24,547 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/c99ppe.h' 2024-01-19 02:41:24,548 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/calloc_ea.c' 2024-01-19 02:41:24,548 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/clearerr.c' 2024-01-19 02:41:24,548 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/creat.c' 2024-01-19 02:41:24,548 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/ea_internal.h' 2024-01-19 02:41:24,549 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fclose.c' 2024-01-19 02:41:24,549 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fdopen.c' 2024-01-19 02:41:24,549 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/feof.c' 2024-01-19 02:41:24,550 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/ferror.c' 2024-01-19 02:41:24,550 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fflush.c' 2024-01-19 02:41:24,550 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fgetc.c' 2024-01-19 02:41:24,551 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fgetpos.c' 2024-01-19 02:41:24,551 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fgets.c' 2024-01-19 02:41:24,551 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fileno.c' 2024-01-19 02:41:24,551 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fiprintf.S' 2024-01-19 02:41:24,552 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fiscanf.S' 2024-01-19 02:41:24,552 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fopen.c' 2024-01-19 02:41:24,552 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fprintf.S' 2024-01-19 02:41:24,553 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fputc.c' 2024-01-19 02:41:24,553 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fputs.c' 2024-01-19 02:41:24,553 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fread.c' 2024-01-19 02:41:24,553 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/free_ea.c' 2024-01-19 02:41:24,554 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/freopen.c' 2024-01-19 02:41:24,554 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fscanf.S' 2024-01-19 02:41:24,554 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fseek.c' 2024-01-19 02:41:24,555 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fsetpos.c' 2024-01-19 02:41:24,555 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/ftell.c' 2024-01-19 02:41:24,555 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/fwrite.c' 2024-01-19 02:41:24,555 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/getc.c' 2024-01-19 02:41:24,556 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/getchar.c' 2024-01-19 02:41:24,556 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/gets.c' 2024-01-19 02:41:24,556 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/iprintf.S' 2024-01-19 02:41:24,557 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/iscanf.S' 2024-01-19 02:41:24,557 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/malloc_ea.c' 2024-01-19 02:41:24,557 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memchr_ea.c' 2024-01-19 02:41:24,557 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memcmp.c' 2024-01-19 02:41:24,558 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memcmp_ea.c' 2024-01-19 02:41:24,558 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memcpy.c' 2024-01-19 02:41:24,558 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memcpy_ea.c' 2024-01-19 02:41:24,559 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memmove.c' 2024-01-19 02:41:24,559 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memmove_ea.c' 2024-01-19 02:41:24,559 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memset.c' 2024-01-19 02:41:24,560 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/memset_ea.c' 2024-01-19 02:41:24,560 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/mk_syscalls' 2024-01-19 02:41:24,560 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/mmap_ea.c' 2024-01-19 02:41:24,561 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/mremap_ea.c' 2024-01-19 02:41:24,561 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/msync_ea.c' 2024-01-19 02:41:24,561 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/munmap_ea.c' 2024-01-19 02:41:24,561 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/perror.c' 2024-01-19 02:41:24,562 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/posix_memalign_ea.c' 2024-01-19 02:41:24,562 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/pread_ea.c' 2024-01-19 02:41:24,562 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/printf.S' 2024-01-19 02:41:24,562 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/putc.c' 2024-01-19 02:41:24,563 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/putchar.c' 2024-01-19 02:41:24,563 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/puts.c' 2024-01-19 02:41:24,563 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/pwrite_ea.c' 2024-01-19 02:41:24,564 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/read_ea.c' 2024-01-19 02:41:24,564 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/readv_ea.c' 2024-01-19 02:41:24,564 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/realloc_ea.c' 2024-01-19 02:41:24,565 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/remove.c' 2024-01-19 02:41:24,565 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/rename.c' 2024-01-19 02:41:24,565 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/rewind.c' 2024-01-19 02:41:24,565 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/scanf.S' 2024-01-19 02:41:24,566 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/setbuf.c' 2024-01-19 02:41:24,566 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/setjmp.S' 2024-01-19 02:41:24,566 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/setvbuf.c' 2024-01-19 02:41:24,567 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/siprintf.S' 2024-01-19 02:41:24,567 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/siscanf.S' 2024-01-19 02:41:24,567 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sleep.c' 2024-01-19 02:41:24,567 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sniprintf.S' 2024-01-19 02:41:24,568 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/snprintf.S' 2024-01-19 02:41:24,568 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sprintf.S' 2024-01-19 02:41:24,568 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu-gmon.c' 2024-01-19 02:41:24,569 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu-mcount.S' 2024-01-19 02:41:24,569 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_clock_stop.c' 2024-01-19 02:41:24,569 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_clock_svcs.c' 2024-01-19 02:41:24,570 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timebase.c' 2024-01-19 02:41:24,570 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_flih.S' 2024-01-19 02:41:24,570 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_free.c' 2024-01-19 02:41:24,570 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_internal.h' 2024-01-19 02:41:24,571 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_slih.c' 2024-01-19 02:41:24,571 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_slih_reg.c' 2024-01-19 02:41:24,571 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_stop.c' 2024-01-19 02:41:24,572 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/spu_timer_svcs.c' 2024-01-19 02:41:24,572 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sscanf.S' 2024-01-19 02:41:24,572 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/stack_reg_va.S' 2024-01-19 02:41:24,573 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/stdio.c' 2024-01-19 02:41:24,573 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/straddr.h' 2024-01-19 02:41:24,573 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcat.c' 2024-01-19 02:41:24,574 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcat_ea.c' 2024-01-19 02:41:24,574 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strchr.c' 2024-01-19 02:41:24,574 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strchr_ea.c' 2024-01-19 02:41:24,574 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcmp.c' 2024-01-19 02:41:24,575 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcmp_ea.c' 2024-01-19 02:41:24,575 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy.c' 2024-01-19 02:41:24,575 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy.h' 2024-01-19 02:41:24,576 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcpy_ea.c' 2024-01-19 02:41:24,576 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcspn.c' 2024-01-19 02:41:24,576 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strcspn_ea.c' 2024-01-19 02:41:24,577 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strlen.c' 2024-01-19 02:41:24,577 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strlen_ea.c' 2024-01-19 02:41:24,577 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strncat.c' 2024-01-19 02:41:24,577 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strncat_ea.c' 2024-01-19 02:41:24,578 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp.c' 2024-01-19 02:41:24,578 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp.h' 2024-01-19 02:41:24,578 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strncmp_ea.c' 2024-01-19 02:41:24,579 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strncpy.c' 2024-01-19 02:41:24,579 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strncpy_ea.c' 2024-01-19 02:41:24,579 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strpbrk.c' 2024-01-19 02:41:24,579 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strpbrk_ea.c' 2024-01-19 02:41:24,580 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strrchr.c' 2024-01-19 02:41:24,580 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strrchr_ea.c' 2024-01-19 02:41:24,580 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strspn.c' 2024-01-19 02:41:24,581 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strspn_ea.c' 2024-01-19 02:41:24,581 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strstr_ea.c' 2024-01-19 02:41:24,581 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/strxfrm.c' 2024-01-19 02:41:24,581 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/syscall.def' 2024-01-19 02:41:24,581 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/tmpfile.c' 2024-01-19 02:41:24,582 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/tmpnam.c' 2024-01-19 02:41:24,582 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/ungetc.c' 2024-01-19 02:41:24,582 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/usleep.c' 2024-01-19 02:41:24,582 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vec_literal.h' 2024-01-19 02:41:24,582 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vfiprintf.c' 2024-01-19 02:41:24,583 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vfiscanf.c' 2024-01-19 02:41:24,583 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vfprintf.c' 2024-01-19 02:41:24,583 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vfscanf.c' 2024-01-19 02:41:24,583 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/viprintf.c' 2024-01-19 02:41:24,583 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/viscanf.c' 2024-01-19 02:41:24,584 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vprintf.c' 2024-01-19 02:41:24,584 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vscanf.c' 2024-01-19 02:41:24,584 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vsiprintf.c' 2024-01-19 02:41:24,584 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vsiscanf.c' 2024-01-19 02:41:24,584 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vsniprintf.c' 2024-01-19 02:41:24,585 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vsnprintf.c' 2024-01-19 02:41:24,585 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vsprintf.c' 2024-01-19 02:41:24,585 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/vsscanf.c' 2024-01-19 02:41:24,585 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/write_ea.c' 2024-01-19 02:41:24,586 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/writev_ea.c' 2024-01-19 02:41:24,586 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/include/ea.h' 2024-01-19 02:41:24,586 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/include/fenv.h' 2024-01-19 02:41:24,586 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/include/spu_timer.h' 2024-01-19 02:41:24,587 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/machine/_types.h' 2024-01-19 02:41:24,587 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/custom_file.h' 2024-01-19 02:41:24,587 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/dirent.h' 2024-01-19 02:41:24,587 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/errno.h' 2024-01-19 02:41:24,587 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/fenv.h' 2024-01-19 02:41:24,588 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/linux_syscalls.h' 2024-01-19 02:41:24,588 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/mman.h' 2024-01-19 02:41:24,588 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/sched.h' 2024-01-19 02:41:24,588 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/syscall.h' 2024-01-19 02:41:24,589 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/uio.h' 2024-01-19 02:41:24,589 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/spu/sys/utime.h' 2024-01-19 02:41:24,589 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/tic4x/setjmp.S' 2024-01-19 02:41:24,589 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/tic6x/setjmp.S' 2024-01-19 02:41:24,590 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/tic80/setjmp.S' 2024-01-19 02:41:24,590 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/v850/setjmp.S' 2024-01-19 02:41:24,590 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/visium/memcpy.c' 2024-01-19 02:41:24,590 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/visium/memcpy.h' 2024-01-19 02:41:24,591 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/visium/memmove.c' 2024-01-19 02:41:24,591 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/visium/memset.c' 2024-01-19 02:41:24,591 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/visium/memset.h' 2024-01-19 02:41:24,591 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/visium/setjmp.S' 2024-01-19 02:41:24,592 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/cmpsi.c' 2024-01-19 02:41:24,592 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/divsi3.c' 2024-01-19 02:41:24,592 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/lshrhi.S' 2024-01-19 02:41:24,592 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/mulsi3.c' 2024-01-19 02:41:24,592 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/sdivhi3.S' 2024-01-19 02:41:24,593 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/smulhi3.S' 2024-01-19 02:41:24,593 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/udivhi3.S' 2024-01-19 02:41:24,593 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/w65/umodhi3.S' 2024-01-19 02:41:24,593 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/CMakeLists.txt' 2024-01-19 02:41:24,594 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/i386mach.h' 2024-01-19 02:41:24,594 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr-32.S' 2024-01-19 02:41:24,594 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr.S' 2024-01-19 02:41:24,594 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memchr.c' 2024-01-19 02:41:24,594 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp-32.S' 2024-01-19 02:41:24,595 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp.S' 2024-01-19 02:41:24,595 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memcmp.c' 2024-01-19 02:41:24,595 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy-32.S' 2024-01-19 02:41:24,595 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy-64.S' 2024-01-19 02:41:24,595 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memcpy.S' 2024-01-19 02:41:24,596 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove-32.S' 2024-01-19 02:41:24,596 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove.S' 2024-01-19 02:41:24,596 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memmove.c' 2024-01-19 02:41:24,596 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memset-32.S' 2024-01-19 02:41:24,596 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memset-64.S' 2024-01-19 02:41:24,597 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/memset.S' 2024-01-19 02:41:24,597 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/meson.build' 2024-01-19 02:41:24,597 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp-32.S' 2024-01-19 02:41:24,597 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp-64.S' 2024-01-19 02:41:24,597 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/setjmp.S' 2024-01-19 02:41:24,598 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr-32.S' 2024-01-19 02:41:24,598 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr.S' 2024-01-19 02:41:24,598 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/strchr.c' 2024-01-19 02:41:24,599 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen-32.S' 2024-01-19 02:41:24,599 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen.S' 2024-01-19 02:41:24,599 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/strlen.c' 2024-01-19 02:41:24,599 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/x86_64mach.h' 2024-01-19 02:41:24,599 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/CMakeLists.txt' 2024-01-19 02:41:24,600 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/fastmath.h' 2024-01-19 02:41:24,600 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/machine/meson.build' 2024-01-19 02:41:24,600 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/CMakeLists.txt' 2024-01-19 02:41:24,600 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/fenv.h' 2024-01-19 02:41:24,601 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/x86/sys/meson.build' 2024-01-19 02:41:24,601 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xc16x/putchar.c' 2024-01-19 02:41:24,601 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xc16x/puts.c' 2024-01-19 02:41:24,601 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xc16x/setjmp.S' 2024-01-19 02:41:24,602 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/calloc.c' 2024-01-19 02:41:24,602 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/callocr.c' 2024-01-19 02:41:24,602 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/cfree.c' 2024-01-19 02:41:24,602 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/freer.c' 2024-01-19 02:41:24,602 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/malign.c' 2024-01-19 02:41:24,602 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/malloc.c' 2024-01-19 02:41:24,603 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/mallocr.c' 2024-01-19 02:41:24,603 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/msize.c' 2024-01-19 02:41:24,603 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/mstats.c' 2024-01-19 02:41:24,603 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/realloc.c' 2024-01-19 02:41:24,603 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/reallocr.c' 2024-01-19 02:41:24,604 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/setjmp.S' 2024-01-19 02:41:24,604 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/tiny-malloc.c' 2024-01-19 02:41:24,604 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xstormy16/valloc.c' 2024-01-19 02:41:24,605 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/CMakeLists.txt' 2024-01-19 02:41:24,605 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/memcpy.S' 2024-01-19 02:41:24,605 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/memset.S' 2024-01-19 02:41:24,605 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/meson.build' 2024-01-19 02:41:24,605 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/setjmp.S' 2024-01-19 02:41:24,606 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strcmp.S' 2024-01-19 02:41:24,606 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strcpy.S' 2024-01-19 02:41:24,606 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strlen.S' 2024-01-19 02:41:24,606 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/strncpy.S' 2024-01-19 02:41:24,607 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/xtensa-asm.h' 2024-01-19 02:41:24,607 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/xtensa.tex' 2024-01-19 02:41:24,607 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/CMakeLists.txt' 2024-01-19 02:41:24,607 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/core-isa.h' 2024-01-19 02:41:24,608 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/machine/meson.build' 2024-01-19 02:41:24,608 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/CMakeLists.txt' 2024-01-19 02:41:24,608 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/fenv.h' 2024-01-19 02:41:24,608 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/xtensa/sys/meson.build' 2024-01-19 02:41:24,609 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/z8k/args.h' 2024-01-19 02:41:24,609 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/z8k/memcmp.S' 2024-01-19 02:41:24,609 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/z8k/memcpy.S' 2024-01-19 02:41:24,609 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/z8k/memmove.S' 2024-01-19 02:41:24,610 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/z8k/memset.S' 2024-01-19 02:41:24,610 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/machine/z8k/setjmp.S' 2024-01-19 02:41:24,610 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/CMakeLists.txt' 2024-01-19 02:41:24,610 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/__dprintf.c' 2024-01-19 02:41:24,611 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/ffs.c' 2024-01-19 02:41:24,611 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/fini.c' 2024-01-19 02:41:24,611 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/init.c' 2024-01-19 02:41:24,611 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/lock.c' 2024-01-19 02:41:24,611 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/meson.build' 2024-01-19 02:41:24,612 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/misc.tex' 2024-01-19 02:41:24,612 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/misc/unctrl.c' 2024-01-19 02:41:24,612 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/CMakeLists.txt' 2024-01-19 02:41:24,612 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/dso_handle.c' 2024-01-19 02:41:24,612 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/getauxval.c' 2024-01-19 02:41:24,613 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/inittls.c' 2024-01-19 02:41:24,613 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/meson.build' 2024-01-19 02:41:24,613 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/picosbrk.c' 2024-01-19 02:41:24,613 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/CMakeLists.txt' 2024-01-19 02:41:24,614 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64/meson.build' 2024-01-19 02:41:24,614 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/aarch64/tls.c' 2024-01-19 02:41:24,614 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/CMakeLists.txt' 2024-01-19 02:41:24,614 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/meson.build' 2024-01-19 02:41:24,615 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arc/tls.c' 2024-01-19 02:41:24,615 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/CMakeLists.txt' 2024-01-19 02:41:24,615 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/arm_tls.h' 2024-01-19 02:41:24,615 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/interrupt.c' 2024-01-19 02:41:24,615 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/meson.build' 2024-01-19 02:41:24,616 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/read_tp.S' 2024-01-19 02:41:24,616 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/arm/tls.c' 2024-01-19 02:41:24,616 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/CMakeLists.txt' 2024-01-19 02:41:24,616 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/meson.build' 2024-01-19 02:41:24,617 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/riscv/tls.c' 2024-01-19 02:41:24,617 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/CMakeLists.txt' 2024-01-19 02:41:24,617 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/meson.build' 2024-01-19 02:41:24,617 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/picolib/machine/xtensa/tls.c' 2024-01-19 02:41:24,618 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/CMakeLists.txt' 2024-01-19 02:41:24,618 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/basename.c' 2024-01-19 02:41:24,618 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/cclass.h' 2024-01-19 02:41:24,618 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/cname.h' 2024-01-19 02:41:24,618 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/collate.c' 2024-01-19 02:41:24,619 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/collate.h' 2024-01-19 02:41:24,619 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/collcmp.c' 2024-01-19 02:41:24,619 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/dirname.c' 2024-01-19 02:41:24,619 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/engine.c' 2024-01-19 02:41:24,620 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/fnmatch.c' 2024-01-19 02:41:24,620 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/ftw.c' 2024-01-19 02:41:24,620 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/meson.build' 2024-01-19 02:41:24,620 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/namespace.h' 2024-01-19 02:41:24,621 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/nftw.c' 2024-01-19 02:41:24,621 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/regcomp.c' 2024-01-19 02:41:24,621 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/regerror.c' 2024-01-19 02:41:24,622 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/regex2.h' 2024-01-19 02:41:24,622 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/regexec.c' 2024-01-19 02:41:24,622 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/regfree.c' 2024-01-19 02:41:24,622 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/rune.h' 2024-01-19 02:41:24,623 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/runetype.h' 2024-01-19 02:41:24,623 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/sysexits.h' 2024-01-19 02:41:24,623 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/un-namespace.h' 2024-01-19 02:41:24,623 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/posix/utils.h' 2024-01-19 02:41:24,623 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/reent/meson.build' 2024-01-19 02:41:24,624 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/reent/reent.c' 2024-01-19 02:41:24,624 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/reent/reent.tex' 2024-01-19 02:41:24,624 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/CMakeLists.txt' 2024-01-19 02:41:24,624 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/bsd_qsort_r.c' 2024-01-19 02:41:24,625 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/bsearch.c' 2024-01-19 02:41:24,625 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/db_local.h' 2024-01-19 02:41:24,625 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/extern.h' 2024-01-19 02:41:24,625 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hash.c' 2024-01-19 02:41:24,626 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hash.h' 2024-01-19 02:41:24,626 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hash_bigkey.c' 2024-01-19 02:41:24,626 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hash_buf.c' 2024-01-19 02:41:24,627 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hash_func.c' 2024-01-19 02:41:24,627 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hash_log2.c' 2024-01-19 02:41:24,627 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hash_page.c' 2024-01-19 02:41:24,627 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hcreate.3' 2024-01-19 02:41:24,628 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hcreate.c' 2024-01-19 02:41:24,628 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/hcreate_r.c' 2024-01-19 02:41:24,628 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/meson.build' 2024-01-19 02:41:24,628 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/ndbm.c' 2024-01-19 02:41:24,628 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/page.h' 2024-01-19 02:41:24,629 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/qsort.c' 2024-01-19 02:41:24,629 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/qsort_r.c' 2024-01-19 02:41:24,629 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/tdelete.c' 2024-01-19 02:41:24,629 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/tdestroy.c' 2024-01-19 02:41:24,630 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/tfind.c' 2024-01-19 02:41:24,630 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/tsearch.3' 2024-01-19 02:41:24,630 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/tsearch.c' 2024-01-19 02:41:24,630 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/search/twalk.c' 2024-01-19 02:41:24,631 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/signal/CMakeLists.txt' 2024-01-19 02:41:24,631 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/signal/meson.build' 2024-01-19 02:41:24,631 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/signal/psignal.c' 2024-01-19 02:41:24,631 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/signal/raise.c' 2024-01-19 02:41:24,631 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/signal/sig2str.c' 2024-01-19 02:41:24,632 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/signal/signal.c' 2024-01-19 02:41:24,632 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/signal/signal.tex' 2024-01-19 02:41:24,632 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/CMakeLists.txt' 2024-01-19 02:41:24,632 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/chk_fail.c' 2024-01-19 02:41:24,633 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/gets_chk.c' 2024-01-19 02:41:24,633 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/memcpy_chk.c' 2024-01-19 02:41:24,633 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/memmove_chk.c' 2024-01-19 02:41:24,633 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/mempcpy_chk.c' 2024-01-19 02:41:24,634 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/memset_chk.c' 2024-01-19 02:41:24,634 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/meson.build' 2024-01-19 02:41:24,634 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/snprintf_chk.c' 2024-01-19 02:41:24,634 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/sprintf_chk.c' 2024-01-19 02:41:24,634 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/ssp.tex' 2024-01-19 02:41:24,635 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/stack_protector.c' 2024-01-19 02:41:24,635 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/stpcpy_chk.c' 2024-01-19 02:41:24,635 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/stpncpy_chk.c' 2024-01-19 02:41:24,635 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/strcat_chk.c' 2024-01-19 02:41:24,635 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/strcpy_chk.c' 2024-01-19 02:41:24,636 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/strncat_chk.c' 2024-01-19 02:41:24,636 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/strncpy_chk.c' 2024-01-19 02:41:24,636 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/vsnprintf_chk.c' 2024-01-19 02:41:24,636 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/ssp/vsprintf_chk.c' 2024-01-19 02:41:24,637 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/asiprintf.c' 2024-01-19 02:41:24,637 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/asniprintf.c' 2024-01-19 02:41:24,637 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/asnprintf.c' 2024-01-19 02:41:24,637 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/asprintf.c' 2024-01-19 02:41:24,638 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/clearerr.c' 2024-01-19 02:41:24,638 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/clearerr_u.c' 2024-01-19 02:41:24,638 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/diprintf.c' 2024-01-19 02:41:24,638 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/dprintf.c' 2024-01-19 02:41:24,638 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fclose.c' 2024-01-19 02:41:24,639 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fcloseall.c' 2024-01-19 02:41:24,639 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fdopen.c' 2024-01-19 02:41:24,639 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/feof.c' 2024-01-19 02:41:24,639 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/feof_u.c' 2024-01-19 02:41:24,640 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/ferror.c' 2024-01-19 02:41:24,640 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/ferror_u.c' 2024-01-19 02:41:24,640 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fflush.c' 2024-01-19 02:41:24,640 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fflush_u.c' 2024-01-19 02:41:24,641 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgetc.c' 2024-01-19 02:41:24,641 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgetc_u.c' 2024-01-19 02:41:24,641 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgetpos.c' 2024-01-19 02:41:24,641 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgets.c' 2024-01-19 02:41:24,641 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgets_u.c' 2024-01-19 02:41:24,642 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgetwc.c' 2024-01-19 02:41:24,642 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgetwc_u.c' 2024-01-19 02:41:24,642 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgetws.c' 2024-01-19 02:41:24,642 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fgetws_u.c' 2024-01-19 02:41:24,642 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fileno.c' 2024-01-19 02:41:24,643 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fileno_u.c' 2024-01-19 02:41:24,643 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/findfp.c' 2024-01-19 02:41:24,643 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fiprintf.c' 2024-01-19 02:41:24,643 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fiscanf.c' 2024-01-19 02:41:24,644 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/flags.c' 2024-01-19 02:41:24,644 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/floatio.h' 2024-01-19 02:41:24,644 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fmemopen.c' 2024-01-19 02:41:24,644 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fopen.c' 2024-01-19 02:41:24,645 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fopencookie.c' 2024-01-19 02:41:24,645 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fprintf.c' 2024-01-19 02:41:24,645 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fpurge.c' 2024-01-19 02:41:24,645 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputc.c' 2024-01-19 02:41:24,645 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputc_u.c' 2024-01-19 02:41:24,646 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputs.c' 2024-01-19 02:41:24,646 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputs_u.c' 2024-01-19 02:41:24,646 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputwc.c' 2024-01-19 02:41:24,646 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputwc_u.c' 2024-01-19 02:41:24,646 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputws.c' 2024-01-19 02:41:24,647 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fputws_u.c' 2024-01-19 02:41:24,647 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fread.c' 2024-01-19 02:41:24,647 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fread_u.c' 2024-01-19 02:41:24,647 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/freopen.c' 2024-01-19 02:41:24,648 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fscanf.c' 2024-01-19 02:41:24,648 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fseek.c' 2024-01-19 02:41:24,648 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fseeko.c' 2024-01-19 02:41:24,648 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fsetlocking.c' 2024-01-19 02:41:24,649 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fsetpos.c' 2024-01-19 02:41:24,649 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/ftell.c' 2024-01-19 02:41:24,649 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/ftello.c' 2024-01-19 02:41:24,649 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/funopen.c' 2024-01-19 02:41:24,649 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fvwrite.c' 2024-01-19 02:41:24,650 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fvwrite.h' 2024-01-19 02:41:24,650 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fwalk.c' 2024-01-19 02:41:24,650 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fwide.c' 2024-01-19 02:41:24,650 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fwprintf.c' 2024-01-19 02:41:24,651 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fwrite.c' 2024-01-19 02:41:24,651 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fwrite_u.c' 2024-01-19 02:41:24,651 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/fwscanf.c' 2024-01-19 02:41:24,651 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getc.c' 2024-01-19 02:41:24,651 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getc_u.c' 2024-01-19 02:41:24,652 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getchar.c' 2024-01-19 02:41:24,652 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getchar_u.c' 2024-01-19 02:41:24,652 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getdelim.c' 2024-01-19 02:41:24,652 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getline.c' 2024-01-19 02:41:24,652 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/gets.c' 2024-01-19 02:41:24,653 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getw.c' 2024-01-19 02:41:24,653 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getwc.c' 2024-01-19 02:41:24,653 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getwc_u.c' 2024-01-19 02:41:24,653 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getwchar.c' 2024-01-19 02:41:24,653 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/getwchar_u.c' 2024-01-19 02:41:24,654 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/iprintf.c' 2024-01-19 02:41:24,654 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/iscanf.c' 2024-01-19 02:41:24,654 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/local.h' 2024-01-19 02:41:24,654 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/makebuf.c' 2024-01-19 02:41:24,655 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/meson.build' 2024-01-19 02:41:24,655 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/mktemp.c' 2024-01-19 02:41:24,655 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-svfprintf.c' 2024-01-19 02:41:24,655 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-svfscanf.c' 2024-01-19 02:41:24,656 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf.c' 2024-01-19 02:41:24,656 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_float.c' 2024-01-19 02:41:24,656 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_i.c' 2024-01-19 02:41:24,656 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfprintf_local.h' 2024-01-19 02:41:24,657 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf.c' 2024-01-19 02:41:24,657 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_float.c' 2024-01-19 02:41:24,657 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_i.c' 2024-01-19 02:41:24,657 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/nano-vfscanf_local.h' 2024-01-19 02:41:24,658 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/open_memstream.c' 2024-01-19 02:41:24,658 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/perror.c' 2024-01-19 02:41:24,658 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/printf.c' 2024-01-19 02:41:24,658 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putc.c' 2024-01-19 02:41:24,659 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putc_u.c' 2024-01-19 02:41:24,659 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putchar.c' 2024-01-19 02:41:24,659 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putchar_u.c' 2024-01-19 02:41:24,659 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/puts.c' 2024-01-19 02:41:24,659 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putw.c' 2024-01-19 02:41:24,660 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putwc.c' 2024-01-19 02:41:24,660 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putwc_u.c' 2024-01-19 02:41:24,660 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putwchar.c' 2024-01-19 02:41:24,660 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/putwchar_u.c' 2024-01-19 02:41:24,660 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/refill.c' 2024-01-19 02:41:24,661 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/remove.c' 2024-01-19 02:41:24,661 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/rename.c' 2024-01-19 02:41:24,661 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/rewind.c' 2024-01-19 02:41:24,661 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/rget.c' 2024-01-19 02:41:24,662 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/scanf.c' 2024-01-19 02:41:24,662 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/sccl.c' 2024-01-19 02:41:24,662 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/setbuf.c' 2024-01-19 02:41:24,662 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/setbuffer.c' 2024-01-19 02:41:24,662 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/setlinebuf.c' 2024-01-19 02:41:24,663 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/setvbuf.c' 2024-01-19 02:41:24,663 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/siprintf.c' 2024-01-19 02:41:24,663 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/siscanf.c' 2024-01-19 02:41:24,664 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/sniprintf.c' 2024-01-19 02:41:24,664 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/snprintf.c' 2024-01-19 02:41:24,664 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/sprintf.c' 2024-01-19 02:41:24,664 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/sscanf.c' 2024-01-19 02:41:24,665 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/stdio.c' 2024-01-19 02:41:24,665 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/stdio.h' 2024-01-19 02:41:24,665 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/stdio.tex' 2024-01-19 02:41:24,665 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/stdio_ext.c' 2024-01-19 02:41:24,666 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/stdio_ext.h' 2024-01-19 02:41:24,666 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfiprintf.c' 2024-01-19 02:41:24,666 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfiscanf.c' 2024-01-19 02:41:24,666 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfiwprintf.c' 2024-01-19 02:41:24,667 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfiwscanf.c' 2024-01-19 02:41:24,667 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfprintf.c' 2024-01-19 02:41:24,667 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfscanf.c' 2024-01-19 02:41:24,667 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfwprintf.c' 2024-01-19 02:41:24,667 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/svfwscanf.c' 2024-01-19 02:41:24,668 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/swprintf.c' 2024-01-19 02:41:24,668 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/swscanf.c' 2024-01-19 02:41:24,668 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/tmpfile.c' 2024-01-19 02:41:24,668 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/tmpnam.c' 2024-01-19 02:41:24,669 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/ungetc.c' 2024-01-19 02:41:24,669 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/ungetwc.c' 2024-01-19 02:41:24,669 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vasiprintf.c' 2024-01-19 02:41:24,669 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vasniprintf.c' 2024-01-19 02:41:24,670 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vasnprintf.c' 2024-01-19 02:41:24,670 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vasprintf.c' 2024-01-19 02:41:24,670 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vdiprintf.c' 2024-01-19 02:41:24,670 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vdprintf.c' 2024-01-19 02:41:24,671 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfieeefp.h' 2024-01-19 02:41:24,671 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfiprintf.c' 2024-01-19 02:41:24,671 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfiscanf.c' 2024-01-19 02:41:24,671 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfiwprintf.c' 2024-01-19 02:41:24,671 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfiwscanf.c' 2024-01-19 02:41:24,672 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfprintf.c' 2024-01-19 02:41:24,672 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfscanf.c' 2024-01-19 02:41:24,673 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfwprintf.c' 2024-01-19 02:41:24,673 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vfwscanf.c' 2024-01-19 02:41:24,674 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/viprintf.c' 2024-01-19 02:41:24,674 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/viscanf.c' 2024-01-19 02:41:24,674 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vprintf.c' 2024-01-19 02:41:24,674 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vscanf.c' 2024-01-19 02:41:24,675 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vsiprintf.c' 2024-01-19 02:41:24,675 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vsiscanf.c' 2024-01-19 02:41:24,675 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vsniprintf.c' 2024-01-19 02:41:24,675 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vsnprintf.c' 2024-01-19 02:41:24,675 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vsprintf.c' 2024-01-19 02:41:24,676 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vsscanf.c' 2024-01-19 02:41:24,676 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vswprintf.c' 2024-01-19 02:41:24,676 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vswscanf.c' 2024-01-19 02:41:24,676 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vwprintf.c' 2024-01-19 02:41:24,676 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/vwscanf.c' 2024-01-19 02:41:24,677 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/wbuf.c' 2024-01-19 02:41:24,677 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/wprintf.c' 2024-01-19 02:41:24,677 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/wscanf.c' 2024-01-19 02:41:24,677 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/wsetup.c' 2024-01-19 02:41:24,678 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/sys/meson.build' 2024-01-19 02:41:24,678 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio/sys/stdio.h' 2024-01-19 02:41:24,678 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/fdopen64.c' 2024-01-19 02:41:24,678 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/fgetpos64.c' 2024-01-19 02:41:24,678 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/fopen64.c' 2024-01-19 02:41:24,679 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/freopen64.c' 2024-01-19 02:41:24,679 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/fseeko64.c' 2024-01-19 02:41:24,679 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/fsetpos64.c' 2024-01-19 02:41:24,679 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/ftello64.c' 2024-01-19 02:41:24,680 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/meson.build' 2024-01-19 02:41:24,680 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/stdio64.c' 2024-01-19 02:41:24,680 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/stdio64.tex' 2024-01-19 02:41:24,680 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdio64/tmpfile64.c' 2024-01-19 02:41:24,681 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/CMakeLists.txt' 2024-01-19 02:41:24,681 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/_Exit.c' 2024-01-19 02:41:24,681 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/__atexit.c' 2024-01-19 02:41:24,681 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/__call_atexit.c' 2024-01-19 02:41:24,682 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/a64l.c' 2024-01-19 02:41:24,682 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/abort.c' 2024-01-19 02:41:24,682 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/abs.c' 2024-01-19 02:41:24,682 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/aligned_alloc.c' 2024-01-19 02:41:24,682 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random.c' 2024-01-19 02:41:24,683 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random.h' 2024-01-19 02:41:24,683 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/arc4random_uniform.c' 2024-01-19 02:41:24,683 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/assert.c' 2024-01-19 02:41:24,683 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/atexit.c' 2024-01-19 02:41:24,684 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/atexit.h' 2024-01-19 02:41:24,684 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/atof.c' 2024-01-19 02:41:24,684 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/atoff.c' 2024-01-19 02:41:24,684 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/atoi.c' 2024-01-19 02:41:24,684 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/atol.c' 2024-01-19 02:41:24,685 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/atoll.c' 2024-01-19 02:41:24,685 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/btowc.c' 2024-01-19 02:41:24,685 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/calloc.c' 2024-01-19 02:41:24,685 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/chacha_private.h' 2024-01-19 02:41:24,685 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/cxa_atexit.c' 2024-01-19 02:41:24,686 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/cxa_finalize.c' 2024-01-19 02:41:24,686 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/div.c' 2024-01-19 02:41:24,686 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/drand48.c' 2024-01-19 02:41:24,686 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/dtoa.c' 2024-01-19 02:41:24,687 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/ecvtbuf.c' 2024-01-19 02:41:24,687 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/efgcvt.c' 2024-01-19 02:41:24,687 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/environ.c' 2024-01-19 02:41:24,687 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/eprintf.c' 2024-01-19 02:41:24,688 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/erand48.c' 2024-01-19 02:41:24,688 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/exit.c' 2024-01-19 02:41:24,688 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-dmisc.c' 2024-01-19 02:41:24,688 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gdtoa.c' 2024-01-19 02:41:24,689 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gethex.c' 2024-01-19 02:41:24,689 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-gmisc.c' 2024-01-19 02:41:24,689 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-hexnan.c' 2024-01-19 02:41:24,689 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa-ldtoa.c' 2024-01-19 02:41:24,689 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoa.h' 2024-01-19 02:41:24,690 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/gdtoaimp.h' 2024-01-19 02:41:24,690 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/getenv.c' 2024-01-19 02:41:24,690 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/getenv_r.c' 2024-01-19 02:41:24,690 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/getopt.c' 2024-01-19 02:41:24,691 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/getsubopt.3' 2024-01-19 02:41:24,691 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/getsubopt.c' 2024-01-19 02:41:24,691 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/imaxabs.c' 2024-01-19 02:41:24,691 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/imaxdiv.c' 2024-01-19 02:41:24,692 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/itoa.c' 2024-01-19 02:41:24,692 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/jrand48.c' 2024-01-19 02:41:24,692 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/l64a.c' 2024-01-19 02:41:24,692 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/labs.c' 2024-01-19 02:41:24,692 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/lcong48.c' 2024-01-19 02:41:24,693 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/ldiv.c' 2024-01-19 02:41:24,693 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/ldtoa.c' 2024-01-19 02:41:24,694 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/llabs.c' 2024-01-19 02:41:24,694 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/lldiv.c' 2024-01-19 02:41:24,694 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/local.h' 2024-01-19 02:41:24,694 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/lrand48.c' 2024-01-19 02:41:24,694 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-calloc.c' 2024-01-19 02:41:24,695 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-cfree.c' 2024-01-19 02:41:24,695 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-free.c' 2024-01-19 02:41:24,695 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-mallinfo.c' 2024-01-19 02:41:24,695 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc.c' 2024-01-19 02:41:24,695 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc_stats.c' 2024-01-19 02:41:24,696 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-malloc_usable_size.c' 2024-01-19 02:41:24,696 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-mallopt.c' 2024-01-19 02:41:24,696 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-memalign.c' 2024-01-19 02:41:24,696 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-pvalloc.c' 2024-01-19 02:41:24,696 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-realloc.c' 2024-01-19 02:41:24,697 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/malloc-valloc.c' 2024-01-19 02:41:24,697 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mallocr.c' 2024-01-19 02:41:24,698 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbctype.h' 2024-01-19 02:41:24,698 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mblen.c' 2024-01-19 02:41:24,698 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbrlen.c' 2024-01-19 02:41:24,698 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbrtowc.c' 2024-01-19 02:41:24,699 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbsinit.c' 2024-01-19 02:41:24,699 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbsnrtowcs.c' 2024-01-19 02:41:24,699 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbsrtowcs.c' 2024-01-19 02:41:24,699 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbstowcs.c' 2024-01-19 02:41:24,699 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbtowc.c' 2024-01-19 02:41:24,700 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mbtowc_r.c' 2024-01-19 02:41:24,700 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/meson.build' 2024-01-19 02:41:24,700 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mprec.c' 2024-01-19 02:41:24,701 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mprec.h' 2024-01-19 02:41:24,701 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mrand48.c' 2024-01-19 02:41:24,701 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mstats.c' 2024-01-19 02:41:24,701 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mtrim.c' 2024-01-19 02:41:24,701 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/mul_overflow.h' 2024-01-19 02:41:24,702 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-calloc.c' 2024-01-19 02:41:24,702 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-cfree.c' 2024-01-19 02:41:24,702 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-free.c' 2024-01-19 02:41:24,702 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-getpagesize.c' 2024-01-19 02:41:24,702 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-mallinfo.c' 2024-01-19 02:41:24,703 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc.c' 2024-01-19 02:41:24,703 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc_stats.c' 2024-01-19 02:41:24,703 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-malloc_usable_size.c' 2024-01-19 02:41:24,703 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-mallopt.c' 2024-01-19 02:41:24,703 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-memalign.c' 2024-01-19 02:41:24,704 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-posix_memalign.c' 2024-01-19 02:41:24,704 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-pvalloc.c' 2024-01-19 02:41:24,704 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-realloc.c' 2024-01-19 02:41:24,704 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-malloc-valloc.c' 2024-01-19 02:41:24,705 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nano-mallocr.c' 2024-01-19 02:41:24,705 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/nrand48.c' 2024-01-19 02:41:24,705 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit.c' 2024-01-19 02:41:24,705 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit_args.c' 2024-01-19 02:41:24,705 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/on_exit_args.h' 2024-01-19 02:41:24,706 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/pico-atexit.c' 2024-01-19 02:41:24,706 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/pico-cxa-atexit.c' 2024-01-19 02:41:24,706 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/pico-exit.c' 2024-01-19 02:41:24,706 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/pico-onexit.c' 2024-01-19 02:41:24,707 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/pico-onexit.h' 2024-01-19 02:41:24,707 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/putenv.c' 2024-01-19 02:41:24,707 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/quick_exit.c' 2024-01-19 02:41:24,707 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/rand.c' 2024-01-19 02:41:24,707 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/rand48.c' 2024-01-19 02:41:24,708 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/rand48.h' 2024-01-19 02:41:24,708 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/rand_r.c' 2024-01-19 02:41:24,708 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/random.c' 2024-01-19 02:41:24,708 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/reallocarray.c' 2024-01-19 02:41:24,708 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/reallocf.c' 2024-01-19 02:41:24,709 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/rpmatch.c' 2024-01-19 02:41:24,709 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/sb_charsets.c' 2024-01-19 02:41:24,709 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/seed48.c' 2024-01-19 02:41:24,710 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/setenv.c' 2024-01-19 02:41:24,710 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/srand.c' 2024-01-19 02:41:24,710 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/srand48.c' 2024-01-19 02:41:24,710 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/srandom.c' 2024-01-19 02:41:24,710 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/std.h' 2024-01-19 02:41:24,711 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/stdlib.tex' 2024-01-19 02:41:24,711 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtod.c' 2024-01-19 02:41:24,711 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtodg.c' 2024-01-19 02:41:24,712 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtoimax.c' 2024-01-19 02:41:24,712 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtol.c' 2024-01-19 02:41:24,712 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtold.c' 2024-01-19 02:41:24,712 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtoll.c' 2024-01-19 02:41:24,713 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtorx.c' 2024-01-19 02:41:24,713 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtoul.c' 2024-01-19 02:41:24,713 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtoull.c' 2024-01-19 02:41:24,713 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/strtoumax.c' 2024-01-19 02:41:24,714 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/system.c' 2024-01-19 02:41:24,714 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/utoa.c' 2024-01-19 02:41:24,714 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcrtomb.c' 2024-01-19 02:41:24,714 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcsnrtombs.c' 2024-01-19 02:41:24,715 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcsrtombs.c' 2024-01-19 02:41:24,715 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstod.c' 2024-01-19 02:41:24,715 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoimax.c' 2024-01-19 02:41:24,715 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstol.c' 2024-01-19 02:41:24,715 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstold.c' 2024-01-19 02:41:24,716 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoll.c' 2024-01-19 02:41:24,716 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoll_r.c' 2024-01-19 02:41:24,716 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstombs.c' 2024-01-19 02:41:24,716 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoul.c' 2024-01-19 02:41:24,717 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoull.c' 2024-01-19 02:41:24,717 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoull_r.c' 2024-01-19 02:41:24,717 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wcstoumax.c' 2024-01-19 02:41:24,717 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wctob.c' 2024-01-19 02:41:24,717 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wctomb.c' 2024-01-19 02:41:24,718 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/stdlib/wctomb_r.c' 2024-01-19 02:41:24,718 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/CMakeLists.txt' 2024-01-19 02:41:24,718 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/WIDTH-A' 2024-01-19 02:41:24,719 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/ambiguous.t' 2024-01-19 02:41:24,719 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/bcmp.c' 2024-01-19 02:41:24,719 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/bcopy.c' 2024-01-19 02:41:24,719 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/bzero.c' 2024-01-19 02:41:24,720 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/combining.t' 2024-01-19 02:41:24,720 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/explicit_bzero.c' 2024-01-19 02:41:24,720 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/ffsl.c' 2024-01-19 02:41:24,720 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/ffsll.c' 2024-01-19 02:41:24,720 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/fls.c' 2024-01-19 02:41:24,721 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/flsl.c' 2024-01-19 02:41:24,721 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/flsll.c' 2024-01-19 02:41:24,721 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/gnu_basename.c' 2024-01-19 02:41:24,721 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/index.c' 2024-01-19 02:41:24,721 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/local.h' 2024-01-19 02:41:24,722 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memccpy.c' 2024-01-19 02:41:24,722 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memchr.c' 2024-01-19 02:41:24,722 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memcmp.c' 2024-01-19 02:41:24,722 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memcpy.c' 2024-01-19 02:41:24,723 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memmem.c' 2024-01-19 02:41:24,723 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memmove.c' 2024-01-19 02:41:24,723 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/mempcpy.c' 2024-01-19 02:41:24,724 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memrchr.c' 2024-01-19 02:41:24,724 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/memset.c' 2024-01-19 02:41:24,724 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/meson.build' 2024-01-19 02:41:24,724 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/mkunidata' 2024-01-19 02:41:24,724 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/mkwide' 2024-01-19 02:41:24,725 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/mkwidthA' 2024-01-19 02:41:24,725 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/rawmemchr.c' 2024-01-19 02:41:24,725 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/rindex.c' 2024-01-19 02:41:24,725 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/stpcpy.c' 2024-01-19 02:41:24,726 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/stpncpy.c' 2024-01-19 02:41:24,726 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/str-two-way.h' 2024-01-19 02:41:24,726 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcasecmp.c' 2024-01-19 02:41:24,726 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcasecmp_l.c' 2024-01-19 02:41:24,726 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcasestr.c' 2024-01-19 02:41:24,727 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcat.c' 2024-01-19 02:41:24,727 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strchr.c' 2024-01-19 02:41:24,727 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strchrnul.c' 2024-01-19 02:41:24,727 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcmp.c' 2024-01-19 02:41:24,728 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcoll.c' 2024-01-19 02:41:24,728 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcoll_l.c' 2024-01-19 02:41:24,728 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcpy.c' 2024-01-19 02:41:24,728 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strcspn.c' 2024-01-19 02:41:24,728 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strdup.c' 2024-01-19 02:41:24,729 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strdup_r.c' 2024-01-19 02:41:24,729 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strerror.c' 2024-01-19 02:41:24,729 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strerror_r.c' 2024-01-19 02:41:24,729 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strings.tex' 2024-01-19 02:41:24,730 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strlcat.c' 2024-01-19 02:41:24,730 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strlcpy.c' 2024-01-19 02:41:24,730 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strlen.c' 2024-01-19 02:41:24,730 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strlwr.c' 2024-01-19 02:41:24,730 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strncasecmp.c' 2024-01-19 02:41:24,731 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strncasecmp_l.c' 2024-01-19 02:41:24,731 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strncat.c' 2024-01-19 02:41:24,731 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strncmp.c' 2024-01-19 02:41:24,731 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strncpy.c' 2024-01-19 02:41:24,731 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strndup.c' 2024-01-19 02:41:24,732 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strndup_r.c' 2024-01-19 02:41:24,732 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strnlen.c' 2024-01-19 02:41:24,732 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strnstr.c' 2024-01-19 02:41:24,732 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strpbrk.c' 2024-01-19 02:41:24,732 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strrchr.c' 2024-01-19 02:41:24,733 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strsep.c' 2024-01-19 02:41:24,733 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strsignal.c' 2024-01-19 02:41:24,733 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strspn.c' 2024-01-19 02:41:24,733 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strstr.c' 2024-01-19 02:41:24,734 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strtok.c' 2024-01-19 02:41:24,734 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strtok_r.c' 2024-01-19 02:41:24,734 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strupr.c' 2024-01-19 02:41:24,734 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strverscmp.c' 2024-01-19 02:41:24,734 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strxfrm.c' 2024-01-19 02:41:24,735 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/strxfrm_l.c' 2024-01-19 02:41:24,735 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/swab.c' 2024-01-19 02:41:24,735 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/timingsafe_bcmp.c' 2024-01-19 02:41:24,735 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/timingsafe_memcmp.c' 2024-01-19 02:41:24,735 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/u_strerr.c' 2024-01-19 02:41:24,736 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/uniset' 2024-01-19 02:41:24,736 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcpcpy.c' 2024-01-19 02:41:24,736 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcpncpy.c' 2024-01-19 02:41:24,736 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscasecmp.c' 2024-01-19 02:41:24,737 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscasecmp_l.c' 2024-01-19 02:41:24,737 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscat.c' 2024-01-19 02:41:24,737 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcschr.c' 2024-01-19 02:41:24,737 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscmp.c' 2024-01-19 02:41:24,737 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscoll.c' 2024-01-19 02:41:24,738 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscoll_l.c' 2024-01-19 02:41:24,738 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscpy.c' 2024-01-19 02:41:24,738 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcscspn.c' 2024-01-19 02:41:24,738 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsdup.c' 2024-01-19 02:41:24,738 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcslcat.c' 2024-01-19 02:41:24,739 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcslcpy.c' 2024-01-19 02:41:24,739 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcslen.c' 2024-01-19 02:41:24,739 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsncasecmp.c' 2024-01-19 02:41:24,739 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsncasecmp_l.c' 2024-01-19 02:41:24,740 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsncat.c' 2024-01-19 02:41:24,740 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsncmp.c' 2024-01-19 02:41:24,740 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsncpy.c' 2024-01-19 02:41:24,740 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsnlen.c' 2024-01-19 02:41:24,740 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcspbrk.c' 2024-01-19 02:41:24,741 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsrchr.c' 2024-01-19 02:41:24,741 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsspn.c' 2024-01-19 02:41:24,741 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsstr.c' 2024-01-19 02:41:24,741 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcstok.c' 2024-01-19 02:41:24,741 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcstrings.tex' 2024-01-19 02:41:24,742 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcswidth.c' 2024-01-19 02:41:24,742 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsxfrm.c' 2024-01-19 02:41:24,742 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcsxfrm_l.c' 2024-01-19 02:41:24,742 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wcwidth.c' 2024-01-19 02:41:24,743 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wide.t' 2024-01-19 02:41:24,743 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wmemchr.c' 2024-01-19 02:41:24,743 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wmemcmp.c' 2024-01-19 02:41:24,743 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wmemcpy.c' 2024-01-19 02:41:24,743 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wmemmove.c' 2024-01-19 02:41:24,744 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wmempcpy.c' 2024-01-19 02:41:24,744 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/wmemset.c' 2024-01-19 02:41:24,744 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/string/xpg_strerror_r.c' 2024-01-19 02:41:24,744 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/README' 2024-01-19 02:41:24,745 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/poll.h' 2024-01-19 02:41:24,745 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/sys/rtems/include/sys/poll.h' 2024-01-19 02:41:24,745 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/CMakeLists.txt' 2024-01-19 02:41:24,745 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/asctime.c' 2024-01-19 02:41:24,746 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/asctime_r.c' 2024-01-19 02:41:24,746 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/clock.c' 2024-01-19 02:41:24,746 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/ctime.c' 2024-01-19 02:41:24,746 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/ctime_r.c' 2024-01-19 02:41:24,746 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/difftime.c' 2024-01-19 02:41:24,747 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/gettzinfo.c' 2024-01-19 02:41:24,747 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/gmtime.c' 2024-01-19 02:41:24,747 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/gmtime_r.c' 2024-01-19 02:41:24,747 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/lcltime.c' 2024-01-19 02:41:24,747 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/lcltime_buf.c' 2024-01-19 02:41:24,748 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/lcltime_r.c' 2024-01-19 02:41:24,748 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/local.h' 2024-01-19 02:41:24,748 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/meson.build' 2024-01-19 02:41:24,748 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/mktime.c' 2024-01-19 02:41:24,749 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/month_lengths.c' 2024-01-19 02:41:24,749 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/strftime.c' 2024-01-19 02:41:24,749 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/strptime.c' 2024-01-19 02:41:24,750 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/time.c' 2024-01-19 02:41:24,750 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/time.tex' 2024-01-19 02:41:24,750 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/tzcalc_limits.c' 2024-01-19 02:41:24,750 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/tzset.c' 2024-01-19 02:41:24,751 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/tzvars.c' 2024-01-19 02:41:24,751 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/time/wcsftime.c' 2024-01-19 02:41:24,751 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/CMakeLists.txt' 2024-01-19 02:41:24,751 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/asprintf.c' 2024-01-19 02:41:24,752 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/atod_engine.c' 2024-01-19 02:41:24,752 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/atod_ryu.c' 2024-01-19 02:41:24,752 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/atof_engine.c' 2024-01-19 02:41:24,752 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/atof_ryu.c' 2024-01-19 02:41:24,753 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/atold_engine.c' 2024-01-19 02:41:24,753 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/bufio.c' 2024-01-19 02:41:24,753 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/clearerr.c' 2024-01-19 02:41:24,753 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/compare_exchange.c' 2024-01-19 02:41:24,754 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/conv_flt.c' 2024-01-19 02:41:24,754 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_data.c' 2024-01-19 02:41:24,754 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_engine.c' 2024-01-19 02:41:24,754 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_engine.h' 2024-01-19 02:41:24,755 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/dtoa_ryu.c' 2024-01-19 02:41:24,755 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvt.c' 2024-01-19 02:41:24,755 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvt_r.c' 2024-01-19 02:41:24,755 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvtf.c' 2024-01-19 02:41:24,755 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ecvtf_r.c' 2024-01-19 02:41:24,756 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/exchange.c' 2024-01-19 02:41:24,756 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fclose.c' 2024-01-19 02:41:24,756 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvt.c' 2024-01-19 02:41:24,756 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvt_r.c' 2024-01-19 02:41:24,757 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvtf.c' 2024-01-19 02:41:24,757 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fcvtf_r.c' 2024-01-19 02:41:24,757 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fdevopen.c' 2024-01-19 02:41:24,757 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fdopen.c' 2024-01-19 02:41:24,757 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/feof.c' 2024-01-19 02:41:24,758 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ferror.c' 2024-01-19 02:41:24,758 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fflush.c' 2024-01-19 02:41:24,758 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fgetc.c' 2024-01-19 02:41:24,758 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fgets.c' 2024-01-19 02:41:24,759 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fileno.c' 2024-01-19 02:41:24,759 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrget.c' 2024-01-19 02:41:24,759 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrput.c' 2024-01-19 02:41:24,759 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/filestrputalloc.c' 2024-01-19 02:41:24,759 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fmemopen.c' 2024-01-19 02:41:24,760 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fopen.c' 2024-01-19 02:41:24,760 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fprintf.c' 2024-01-19 02:41:24,760 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fputc.c' 2024-01-19 02:41:24,760 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fputs.c' 2024-01-19 02:41:24,760 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fread.c' 2024-01-19 02:41:24,761 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/freopen.c' 2024-01-19 02:41:24,761 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fscanf.c' 2024-01-19 02:41:24,761 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fseek.c' 2024-01-19 02:41:24,761 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fseeko.c' 2024-01-19 02:41:24,761 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ftell.c' 2024-01-19 02:41:24,762 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ftello.c' 2024-01-19 02:41:24,762 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_data.c' 2024-01-19 02:41:24,762 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_engine.c' 2024-01-19 02:41:24,762 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_engine.h' 2024-01-19 02:41:24,763 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ftoa_ryu.c' 2024-01-19 02:41:24,763 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/fwrite.c' 2024-01-19 02:41:24,763 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/gcvt.c' 2024-01-19 02:41:24,763 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/gcvtf.c' 2024-01-19 02:41:24,763 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/getchar.c' 2024-01-19 02:41:24,764 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/gets.c' 2024-01-19 02:41:24,764 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/make-dtoa-data' 2024-01-19 02:41:24,764 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/matchcaseprefix.c' 2024-01-19 02:41:24,764 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/meson.build' 2024-01-19 02:41:24,765 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/mktemp.c' 2024-01-19 02:41:24,765 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/perror.c' 2024-01-19 02:41:24,765 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/posixiob.c' 2024-01-19 02:41:24,765 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/printf.c' 2024-01-19 02:41:24,765 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/putchar.c' 2024-01-19 02:41:24,766 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/puts.c' 2024-01-19 02:41:24,766 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/remove.c' 2024-01-19 02:41:24,766 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/rewind.c' 2024-01-19 02:41:24,766 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_divpow2.c' 2024-01-19 02:41:24,767 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_log10.c' 2024-01-19 02:41:24,767 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_log2pow5.c' 2024-01-19 02:41:24,767 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_pow5bits.c' 2024-01-19 02:41:24,767 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_table.c' 2024-01-19 02:41:24,767 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu_umul128.c' 2024-01-19 02:41:24,768 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/scanf.c' 2024-01-19 02:41:24,768 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/scanf_private.h' 2024-01-19 02:41:24,768 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/setbuf.c' 2024-01-19 02:41:24,768 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/setbuffer.c' 2024-01-19 02:41:24,768 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/setlinebuf.c' 2024-01-19 02:41:24,769 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/setvbuf.c' 2024-01-19 02:41:24,769 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/sflags.c' 2024-01-19 02:41:24,769 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintf.c' 2024-01-19 02:41:24,769 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintfd.c' 2024-01-19 02:41:24,769 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/snprintff.c' 2024-01-19 02:41:24,770 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintf.c' 2024-01-19 02:41:24,770 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintfd.c' 2024-01-19 02:41:24,770 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/sprintff.c' 2024-01-19 02:41:24,770 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/sscanf.c' 2024-01-19 02:41:24,771 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio-bufio.h' 2024-01-19 02:41:24,771 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio.h' 2024-01-19 02:41:24,771 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/stdio_private.h' 2024-01-19 02:41:24,771 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strfromd.c' 2024-01-19 02:41:24,772 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strfromf.c' 2024-01-19 02:41:24,772 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtod.c' 2024-01-19 02:41:24,772 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtod_l.c' 2024-01-19 02:41:24,772 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtof.c' 2024-01-19 02:41:24,773 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtof_l.c' 2024-01-19 02:41:24,773 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoi.h' 2024-01-19 02:41:24,773 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoimax.c' 2024-01-19 02:41:24,773 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtol.c' 2024-01-19 02:41:24,773 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtol_l.c' 2024-01-19 02:41:24,774 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtold.c' 2024-01-19 02:41:24,774 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtold_l.c' 2024-01-19 02:41:24,774 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoll.c' 2024-01-19 02:41:24,774 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoll_l.c' 2024-01-19 02:41:24,775 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoul.c' 2024-01-19 02:41:24,775 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoul_l.c' 2024-01-19 02:41:24,775 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoull.c' 2024-01-19 02:41:24,775 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoull_l.c' 2024-01-19 02:41:24,775 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/strtoumax.c' 2024-01-19 02:41:24,776 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/tmpfile.c' 2024-01-19 02:41:24,776 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/tmpnam.c' 2024-01-19 02:41:24,776 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ultoa_invert.c' 2024-01-19 02:41:24,776 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ungetc.c' 2024-01-19 02:41:24,776 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vasprintf.c' 2024-01-19 02:41:24,777 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vfiprintf.c' 2024-01-19 02:41:24,777 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vfiscanf.c' 2024-01-19 02:41:24,777 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vfprintf.c' 2024-01-19 02:41:24,778 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vfprintff.c' 2024-01-19 02:41:24,778 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vfscanf.c' 2024-01-19 02:41:24,778 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vfscanff.c' 2024-01-19 02:41:24,778 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vprintf.c' 2024-01-19 02:41:24,778 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vscanf.c' 2024-01-19 02:41:24,779 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vsnprintf.c' 2024-01-19 02:41:24,779 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vsprintf.c' 2024-01-19 02:41:24,779 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/vsscanf.c' 2024-01-19 02:41:24,779 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/xtoa_fast.h' 2024-01-19 02:41:24,780 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/common.h' 2024-01-19 02:41:24,780 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/d2s_intrinsics.h' 2024-01-19 02:41:24,780 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/digit_table.h' 2024-01-19 02:41:24,780 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/f2s_intrinsics.h' 2024-01-19 02:41:24,780 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/ryu.h' 2024-01-19 02:41:24,781 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/tinystdio/ryu/ryu_parse.h' 2024-01-19 02:41:24,781 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/CMakeLists.txt' 2024-01-19 02:41:24,781 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/README' 2024-01-19 02:41:24,781 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/meson.build' 2024-01-19 02:41:24,782 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr.c' 2024-01-19 02:41:24,782 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_array.c' 2024-01-19 02:41:24,782 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_float.c' 2024-01-19 02:41:24,782 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_float_vax.c' 2024-01-19 02:41:24,783 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_mem.c' 2024-01-19 02:41:24,783 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_private.c' 2024-01-19 02:41:24,783 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_private.h' 2024-01-19 02:41:24,783 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_rec.c' 2024-01-19 02:41:24,784 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_reference.c' 2024-01-19 02:41:24,784 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_sizeof.c' 2024-01-19 02:41:24,784 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libc/xdr/xdr_stdio.c' 2024-01-19 02:41:24,785 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/CMakeLists.txt' 2024-01-19 02:41:24,785 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/libm.in.xml' 2024-01-19 02:41:24,785 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/meson.build' 2024-01-19 02:41:24,785 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/CMakeLists.txt' 2024-01-19 02:41:24,786 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/acoshl.c' 2024-01-19 02:41:24,786 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/acosl.c' 2024-01-19 02:41:24,786 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/asinhl.c' 2024-01-19 02:41:24,786 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/asinl.c' 2024-01-19 02:41:24,787 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/atan2l.c' 2024-01-19 02:41:24,787 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/atanhl.c' 2024-01-19 02:41:24,787 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/atanl.c' 2024-01-19 02:41:24,787 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/cbrtl.c' 2024-01-19 02:41:24,787 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/ceill.c' 2024-01-19 02:41:24,788 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/copysignl.c' 2024-01-19 02:41:24,788 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/cosf.c' 2024-01-19 02:41:24,788 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/coshl.c' 2024-01-19 02:41:24,788 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/cosl.c' 2024-01-19 02:41:24,788 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/dreml.c' 2024-01-19 02:41:24,789 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/erfcl.c' 2024-01-19 02:41:24,789 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/erfl.c' 2024-01-19 02:41:24,789 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/exp.c' 2024-01-19 02:41:24,789 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/exp10l.c' 2024-01-19 02:41:24,790 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/exp2.c' 2024-01-19 02:41:24,790 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/exp2l.c' 2024-01-19 02:41:24,790 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/exp_data.c' 2024-01-19 02:41:24,790 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/expl.c' 2024-01-19 02:41:24,791 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/expm1l.c' 2024-01-19 02:41:24,791 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/fabsl.c' 2024-01-19 02:41:24,791 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/fdiml.c' 2024-01-19 02:41:24,791 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/fdlibm.h' 2024-01-19 02:41:24,791 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/finitel.c' 2024-01-19 02:41:24,792 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/floorl.c' 2024-01-19 02:41:24,792 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/fmal.c' 2024-01-19 02:41:24,792 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/fmaxl.c' 2024-01-19 02:41:24,792 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/fminl.c' 2024-01-19 02:41:24,793 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/fmodl.c' 2024-01-19 02:41:24,793 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/frexpl.c' 2024-01-19 02:41:24,793 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/ilogbl.c' 2024-01-19 02:41:24,793 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/isgreater.c' 2024-01-19 02:41:24,794 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/isinfl.c' 2024-01-19 02:41:24,794 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/isnanl.c' 2024-01-19 02:41:24,794 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/ldexpl.c' 2024-01-19 02:41:24,794 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/lgammal.c' 2024-01-19 02:41:24,794 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/llrintl.c' 2024-01-19 02:41:24,795 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/llroundl.c' 2024-01-19 02:41:24,795 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/local.h' 2024-01-19 02:41:24,795 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/log.c' 2024-01-19 02:41:24,795 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/log10l.c' 2024-01-19 02:41:24,795 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/log1pl.c' 2024-01-19 02:41:24,796 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/log2.c' 2024-01-19 02:41:24,796 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/log2_data.c' 2024-01-19 02:41:24,796 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/log2l.c' 2024-01-19 02:41:24,796 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/log_data.c' 2024-01-19 02:41:24,797 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/logbl.c' 2024-01-19 02:41:24,797 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/logl.c' 2024-01-19 02:41:24,797 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/lrintl.c' 2024-01-19 02:41:24,797 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/lroundl.c' 2024-01-19 02:41:24,798 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_config.h' 2024-01-19 02:41:24,798 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_check_oflow.c' 2024-01-19 02:41:24,798 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_check_uflow.c' 2024-01-19 02:41:24,798 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_divzero.c' 2024-01-19 02:41:24,799 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_invalid.c' 2024-01-19 02:41:24,799 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_may_uflow.c' 2024-01-19 02:41:24,799 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_oflow.c' 2024-01-19 02:41:24,799 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_uflow.c' 2024-01-19 02:41:24,799 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_err_with_errno.c' 2024-01-19 02:41:24,800 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_check_oflowf.c' 2024-01-19 02:41:24,800 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_check_uflowf.c' 2024-01-19 02:41:24,800 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_divzerof.c' 2024-01-19 02:41:24,800 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_invalidf.c' 2024-01-19 02:41:24,800 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_may_uflowf.c' 2024-01-19 02:41:24,801 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_oflowf.c' 2024-01-19 02:41:24,801 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_uflowf.c' 2024-01-19 02:41:24,801 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errf_with_errnof.c' 2024-01-19 02:41:24,801 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errl_invalidl.c' 2024-01-19 02:41:24,802 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_errl_with_errnol.c' 2024-01-19 02:41:24,802 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_inexact.c' 2024-01-19 02:41:24,802 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/math_inexactf.c' 2024-01-19 02:41:24,802 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/meson.build' 2024-01-19 02:41:24,802 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/modfl.c' 2024-01-19 02:41:24,803 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/nanl.c' 2024-01-19 02:41:24,803 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/nearbyintl.c' 2024-01-19 02:41:24,803 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/nextafterl.c' 2024-01-19 02:41:24,803 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/nexttoward.c' 2024-01-19 02:41:24,803 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/nexttowardf.c' 2024-01-19 02:41:24,804 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/nexttowardl.c' 2024-01-19 02:41:24,804 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/pow.c' 2024-01-19 02:41:24,804 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/pow10l.c' 2024-01-19 02:41:24,804 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/pow_log_data.c' 2024-01-19 02:41:24,805 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/powl.c' 2024-01-19 02:41:24,805 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/remainderl.c' 2024-01-19 02:41:24,805 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/remquol.c' 2024-01-19 02:41:24,805 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/rintl.c' 2024-01-19 02:41:24,805 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/roundl.c' 2024-01-19 02:41:24,806 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_cbrt.c' 2024-01-19 02:41:24,806 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_copysign.c' 2024-01-19 02:41:24,806 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_exp10.c' 2024-01-19 02:41:24,806 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_expm1.c' 2024-01-19 02:41:24,807 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_fdim.c' 2024-01-19 02:41:24,807 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_finite.c' 2024-01-19 02:41:24,807 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_fma.c' 2024-01-19 02:41:24,807 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_fmax.c' 2024-01-19 02:41:24,807 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_fmin.c' 2024-01-19 02:41:24,808 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_fpclassify.c' 2024-01-19 02:41:24,808 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_getpayload.c' 2024-01-19 02:41:24,808 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_ilogb.c' 2024-01-19 02:41:24,808 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_infinity.c' 2024-01-19 02:41:24,808 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_iseqsig.c' 2024-01-19 02:41:24,809 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_isinf.c' 2024-01-19 02:41:24,809 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_isinfd.c' 2024-01-19 02:41:24,809 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_isnan.c' 2024-01-19 02:41:24,809 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_isnand.c' 2024-01-19 02:41:24,810 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_issignaling.c' 2024-01-19 02:41:24,810 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_llrint.c' 2024-01-19 02:41:24,810 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_llround.c' 2024-01-19 02:41:24,810 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_log1p.c' 2024-01-19 02:41:24,810 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_log2.c' 2024-01-19 02:41:24,811 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_logb.c' 2024-01-19 02:41:24,811 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_lrint.c' 2024-01-19 02:41:24,811 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_lround.c' 2024-01-19 02:41:24,811 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_modf.c' 2024-01-19 02:41:24,812 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_nan.c' 2024-01-19 02:41:24,812 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_nearbyint.c' 2024-01-19 02:41:24,812 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_nextafter.c' 2024-01-19 02:41:24,812 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_pow10.c' 2024-01-19 02:41:24,812 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_remquo.c' 2024-01-19 02:41:24,813 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_rint.c' 2024-01-19 02:41:24,813 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_round.c' 2024-01-19 02:41:24,813 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_scalbln.c' 2024-01-19 02:41:24,813 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_scalbn.c' 2024-01-19 02:41:24,813 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_signbit.c' 2024-01-19 02:41:24,814 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/s_trunc.c' 2024-01-19 02:41:24,814 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/scalblnl.c' 2024-01-19 02:41:24,814 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/scalbnl.c' 2024-01-19 02:41:24,814 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_cbrt.c' 2024-01-19 02:41:24,815 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_copysign.c' 2024-01-19 02:41:24,815 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_exp.c' 2024-01-19 02:41:24,815 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_exp10.c' 2024-01-19 02:41:24,815 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_exp2.c' 2024-01-19 02:41:24,815 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_exp2_data.c' 2024-01-19 02:41:24,816 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_expm1.c' 2024-01-19 02:41:24,816 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_fdim.c' 2024-01-19 02:41:24,816 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_finite.c' 2024-01-19 02:41:24,816 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_fma.c' 2024-01-19 02:41:24,816 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_fmax.c' 2024-01-19 02:41:24,817 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_fmin.c' 2024-01-19 02:41:24,817 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_fpclassify.c' 2024-01-19 02:41:24,817 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_getpayload.c' 2024-01-19 02:41:24,817 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_ilogb.c' 2024-01-19 02:41:24,817 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_infinity.c' 2024-01-19 02:41:24,818 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_iseqsig.c' 2024-01-19 02:41:24,818 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_isinf.c' 2024-01-19 02:41:24,818 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_isinff.c' 2024-01-19 02:41:24,818 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_isnan.c' 2024-01-19 02:41:24,818 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_isnanf.c' 2024-01-19 02:41:24,819 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_issignaling.c' 2024-01-19 02:41:24,819 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_llrint.c' 2024-01-19 02:41:24,819 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_llround.c' 2024-01-19 02:41:24,819 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_log.c' 2024-01-19 02:41:24,820 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_log1p.c' 2024-01-19 02:41:24,820 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_log2.c' 2024-01-19 02:41:24,820 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_log2_data.c' 2024-01-19 02:41:24,820 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_log_data.c' 2024-01-19 02:41:24,820 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_logb.c' 2024-01-19 02:41:24,821 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_lrint.c' 2024-01-19 02:41:24,821 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_lround.c' 2024-01-19 02:41:24,821 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_modf.c' 2024-01-19 02:41:24,821 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_nan.c' 2024-01-19 02:41:24,821 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_nearbyint.c' 2024-01-19 02:41:24,822 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_nextafter.c' 2024-01-19 02:41:24,822 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_pow.c' 2024-01-19 02:41:24,822 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_pow10.c' 2024-01-19 02:41:24,822 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_pow_log2_data.c' 2024-01-19 02:41:24,823 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_remquo.c' 2024-01-19 02:41:24,823 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_rint.c' 2024-01-19 02:41:24,823 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_round.c' 2024-01-19 02:41:24,823 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_scalbln.c' 2024-01-19 02:41:24,823 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_scalbn.c' 2024-01-19 02:41:24,824 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sf_trunc.c' 2024-01-19 02:41:24,824 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/signgam.c' 2024-01-19 02:41:24,824 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sincosf.c' 2024-01-19 02:41:24,824 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sincosf.h' 2024-01-19 02:41:24,824 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sincosf_data.c' 2024-01-19 02:41:24,825 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sincosl.c' 2024-01-19 02:41:24,825 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sinf.c' 2024-01-19 02:41:24,825 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sinhl.c' 2024-01-19 02:41:24,825 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sinl.c' 2024-01-19 02:41:24,826 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sl_finite.c' 2024-01-19 02:41:24,826 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sl_iseqsig.c' 2024-01-19 02:41:24,826 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sl_issignaling.c' 2024-01-19 02:41:24,826 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/sqrtl.c' 2024-01-19 02:41:24,826 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/tanhl.c' 2024-01-19 02:41:24,827 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/tanl.c' 2024-01-19 02:41:24,827 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/tgammal.c' 2024-01-19 02:41:24,827 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/common/truncl.c' 2024-01-19 02:41:24,827 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cabs.c' 2024-01-19 02:41:24,828 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cabsf.c' 2024-01-19 02:41:24,828 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cabsl.c' 2024-01-19 02:41:24,828 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cacos.c' 2024-01-19 02:41:24,828 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cacosf.c' 2024-01-19 02:41:24,828 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cacosh.c' 2024-01-19 02:41:24,829 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cacoshf.c' 2024-01-19 02:41:24,829 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cacoshl.c' 2024-01-19 02:41:24,829 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cacosl.c' 2024-01-19 02:41:24,829 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/carg.c' 2024-01-19 02:41:24,830 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cargf.c' 2024-01-19 02:41:24,830 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cargl.c' 2024-01-19 02:41:24,830 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/casin.c' 2024-01-19 02:41:24,830 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/casinf.c' 2024-01-19 02:41:24,830 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/casinh.c' 2024-01-19 02:41:24,831 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/casinhf.c' 2024-01-19 02:41:24,831 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/casinhl.c' 2024-01-19 02:41:24,831 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/casinl.c' 2024-01-19 02:41:24,831 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/catan.c' 2024-01-19 02:41:24,832 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/catanf.c' 2024-01-19 02:41:24,832 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/catanh.c' 2024-01-19 02:41:24,832 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/catanhf.c' 2024-01-19 02:41:24,832 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/catanhl.c' 2024-01-19 02:41:24,832 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/catanl.c' 2024-01-19 02:41:24,833 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ccos.c' 2024-01-19 02:41:24,833 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ccosf.c' 2024-01-19 02:41:24,833 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ccosh.c' 2024-01-19 02:41:24,833 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ccoshf.c' 2024-01-19 02:41:24,833 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ccoshl.c' 2024-01-19 02:41:24,834 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ccosl.c' 2024-01-19 02:41:24,834 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cephes_subr.c' 2024-01-19 02:41:24,834 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cephes_subr.h' 2024-01-19 02:41:24,834 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrf.c' 2024-01-19 02:41:24,834 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrf.h' 2024-01-19 02:41:24,835 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrl.c' 2024-01-19 02:41:24,835 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cephes_subrl.h' 2024-01-19 02:41:24,835 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cexp.c' 2024-01-19 02:41:24,835 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cexpf.c' 2024-01-19 02:41:24,836 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cexpl.c' 2024-01-19 02:41:24,836 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cimag.c' 2024-01-19 02:41:24,836 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cimagf.c' 2024-01-19 02:41:24,836 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cimagl.c' 2024-01-19 02:41:24,836 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/clog.c' 2024-01-19 02:41:24,837 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/clog10.c' 2024-01-19 02:41:24,837 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/clog10f.c' 2024-01-19 02:41:24,837 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/clog10l.c' 2024-01-19 02:41:24,837 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/clogf.c' 2024-01-19 02:41:24,837 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/clogl.c' 2024-01-19 02:41:24,838 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/complex.tex' 2024-01-19 02:41:24,838 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/conj.c' 2024-01-19 02:41:24,838 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/conjf.c' 2024-01-19 02:41:24,838 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/conjl.c' 2024-01-19 02:41:24,838 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cpow.c' 2024-01-19 02:41:24,839 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cpowf.c' 2024-01-19 02:41:24,839 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cpowl.c' 2024-01-19 02:41:24,839 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cproj.c' 2024-01-19 02:41:24,839 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cprojf.c' 2024-01-19 02:41:24,840 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/cprojl.c' 2024-01-19 02:41:24,840 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/creal.c' 2024-01-19 02:41:24,840 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/crealf.c' 2024-01-19 02:41:24,840 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/creall.c' 2024-01-19 02:41:24,840 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csin.c' 2024-01-19 02:41:24,841 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csinf.c' 2024-01-19 02:41:24,841 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csinh.c' 2024-01-19 02:41:24,841 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csinhf.c' 2024-01-19 02:41:24,841 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csinhl.c' 2024-01-19 02:41:24,841 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csinl.c' 2024-01-19 02:41:24,842 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csqrt.c' 2024-01-19 02:41:24,842 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csqrtf.c' 2024-01-19 02:41:24,842 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/csqrtl.c' 2024-01-19 02:41:24,842 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ctan.c' 2024-01-19 02:41:24,843 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ctanf.c' 2024-01-19 02:41:24,843 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ctanh.c' 2024-01-19 02:41:24,843 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ctanhf.c' 2024-01-19 02:41:24,843 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ctanhl.c' 2024-01-19 02:41:24,843 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/ctanl.c' 2024-01-19 02:41:24,844 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/complex/meson.build' 2024-01-19 02:41:24,844 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/CMakeLists.txt' 2024-01-19 02:41:24,844 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fe_dfl_env.c' 2024-01-19 02:41:24,844 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/feclearexcept.c' 2024-01-19 02:41:24,845 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fedisableexcept.c' 2024-01-19 02:41:24,845 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/feenableexcept.c' 2024-01-19 02:41:24,845 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fegetenv.c' 2024-01-19 02:41:24,845 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fegetexcept.c' 2024-01-19 02:41:24,845 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fegetexceptflag.c' 2024-01-19 02:41:24,846 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fegetmode.c' 2024-01-19 02:41:24,846 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fegetround.c' 2024-01-19 02:41:24,846 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/feholdexcept.c' 2024-01-19 02:41:24,846 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fenv.tex' 2024-01-19 02:41:24,846 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fenv_stub.c' 2024-01-19 02:41:24,847 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/feraiseexcept.c' 2024-01-19 02:41:24,847 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fesetenv.c' 2024-01-19 02:41:24,847 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fesetexcept.c' 2024-01-19 02:41:24,847 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fesetexceptflag.c' 2024-01-19 02:41:24,848 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fesetmode.c' 2024-01-19 02:41:24,848 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fesetround.c' 2024-01-19 02:41:24,848 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/fetestexcept.c' 2024-01-19 02:41:24,848 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/feupdateenv.c' 2024-01-19 02:41:24,849 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/fenv/meson.build' 2024-01-19 02:41:24,849 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/CMakeLists.txt' 2024-01-19 02:41:24,849 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/CMakeLists.txt' 2024-01-19 02:41:24,850 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/fenv.c' 2024-01-19 02:41:24,850 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/meson.build' 2024-01-19 02:41:24,850 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_ceil.c' 2024-01-19 02:41:24,850 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fabs.c' 2024-01-19 02:41:24,850 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_floor.c' 2024-01-19 02:41:24,851 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fma.c' 2024-01-19 02:41:24,851 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fmax.c' 2024-01-19 02:41:24,851 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_fmin.c' 2024-01-19 02:41:24,851 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_llrint.c' 2024-01-19 02:41:24,851 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_llround.c' 2024-01-19 02:41:24,852 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_lrint.c' 2024-01-19 02:41:24,852 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_lround.c' 2024-01-19 02:41:24,852 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_nearbyint.c' 2024-01-19 02:41:24,852 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_rint.c' 2024-01-19 02:41:24,852 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_round.c' 2024-01-19 02:41:24,853 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_sqrt.c' 2024-01-19 02:41:24,853 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/s_trunc.c' 2024-01-19 02:41:24,853 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_ceil.c' 2024-01-19 02:41:24,853 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fabs.c' 2024-01-19 02:41:24,853 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_floor.c' 2024-01-19 02:41:24,854 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fma.c' 2024-01-19 02:41:24,854 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fmax.c' 2024-01-19 02:41:24,854 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_fmin.c' 2024-01-19 02:41:24,854 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_llrint.c' 2024-01-19 02:41:24,855 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_llround.c' 2024-01-19 02:41:24,855 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_lrint.c' 2024-01-19 02:41:24,855 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_lround.c' 2024-01-19 02:41:24,855 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_nearbyint.c' 2024-01-19 02:41:24,855 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_rint.c' 2024-01-19 02:41:24,856 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_round.c' 2024-01-19 02:41:24,856 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_sqrt.c' 2024-01-19 02:41:24,856 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/aarch64/sf_trunc.c' 2024-01-19 02:41:24,856 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/CMakeLists.txt' 2024-01-19 02:41:24,856 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/_fenv.h' 2024-01-19 02:41:24,857 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/feclearexcept.c' 2024-01-19 02:41:24,857 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fedisableexcept.c' 2024-01-19 02:41:24,857 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/feenableexcept.c' 2024-01-19 02:41:24,857 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetenv.c' 2024-01-19 02:41:24,858 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetexcept.c' 2024-01-19 02:41:24,858 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetexceptflag.c' 2024-01-19 02:41:24,858 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fegetround.c' 2024-01-19 02:41:24,858 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/feholdexcept.c' 2024-01-19 02:41:24,858 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/feraiseexcept.c' 2024-01-19 02:41:24,859 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetenv.c' 2024-01-19 02:41:24,859 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetexceptflag.c' 2024-01-19 02:41:24,859 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fesetround.c' 2024-01-19 02:41:24,859 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/fetestexcept.c' 2024-01-19 02:41:24,859 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/feupdateenv.c' 2024-01-19 02:41:24,860 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/meson.build' 2024-01-19 02:41:24,860 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_ceil.c' 2024-01-19 02:41:24,860 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_fabs.c' 2024-01-19 02:41:24,860 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_floor.c' 2024-01-19 02:41:24,861 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_fma_arm.c' 2024-01-19 02:41:24,861 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_nearbyint.c' 2024-01-19 02:41:24,861 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_rint.c' 2024-01-19 02:41:24,861 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_round.c' 2024-01-19 02:41:24,861 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_sqrt.c' 2024-01-19 02:41:24,862 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/s_trunc.c' 2024-01-19 02:41:24,862 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_ceil.c' 2024-01-19 02:41:24,862 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_fabs.c' 2024-01-19 02:41:24,862 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_floor.c' 2024-01-19 02:41:24,862 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_fma_arm.c' 2024-01-19 02:41:24,863 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_nearbyint.c' 2024-01-19 02:41:24,863 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_rint.c' 2024-01-19 02:41:24,863 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_round.c' 2024-01-19 02:41:24,863 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_sqrt.c' 2024-01-19 02:41:24,864 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/arm/sf_trunc.c' 2024-01-19 02:41:24,864 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/mips/CMakeLists.txt' 2024-01-19 02:41:24,864 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/mips/fenv.c' 2024-01-19 02:41:24,864 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/mips/meson.build' 2024-01-19 02:41:24,864 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/nds32/w_sqrt.S' 2024-01-19 02:41:24,865 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/nds32/wf_sqrt.S' 2024-01-19 02:41:24,865 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/powerpc/fenv.c' 2024-01-19 02:41:24,865 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/powerpc/meson.build' 2024-01-19 02:41:24,865 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/fpclassify.c' 2024-01-19 02:41:24,866 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/fpclassifyf.c' 2024-01-19 02:41:24,866 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isfinite.c' 2024-01-19 02:41:24,866 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isfinitef.c' 2024-01-19 02:41:24,866 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isinf.c' 2024-01-19 02:41:24,866 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isinff.c' 2024-01-19 02:41:24,867 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isnan.c' 2024-01-19 02:41:24,867 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isnanf.c' 2024-01-19 02:41:24,867 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isnormal.c' 2024-01-19 02:41:24,867 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/pru/isnormalf.c' 2024-01-19 02:41:24,868 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/CMakeLists.txt' 2024-01-19 02:41:24,868 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/feclearexcept.c' 2024-01-19 02:41:24,868 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetenv.c' 2024-01-19 02:41:24,868 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetexceptflag.c' 2024-01-19 02:41:24,868 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/fegetround.c' 2024-01-19 02:41:24,869 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/feholdexcept.c' 2024-01-19 02:41:24,869 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/feraiseexcept.c' 2024-01-19 02:41:24,869 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetenv.c' 2024-01-19 02:41:24,869 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetexceptflag.c' 2024-01-19 02:41:24,870 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/fesetround.c' 2024-01-19 02:41:24,870 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/fetestexcept.c' 2024-01-19 02:41:24,870 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/feupdateenv.c' 2024-01-19 02:41:24,870 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/meson.build' 2024-01-19 02:41:24,870 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_copysign.c' 2024-01-19 02:41:24,871 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fabs.c' 2024-01-19 02:41:24,871 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_finite.c' 2024-01-19 02:41:24,871 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fma.c' 2024-01-19 02:41:24,871 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fma_riscv.c' 2024-01-19 02:41:24,871 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fmax.c' 2024-01-19 02:41:24,872 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fmin.c' 2024-01-19 02:41:24,872 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_fpclassify.c' 2024-01-19 02:41:24,872 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_isinf.c' 2024-01-19 02:41:24,872 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_isnan.c' 2024-01-19 02:41:24,873 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_llrint.c' 2024-01-19 02:41:24,873 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_llround.c' 2024-01-19 02:41:24,873 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_lrint.c' 2024-01-19 02:41:24,873 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_lround.c' 2024-01-19 02:41:24,873 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/s_sqrt.c' 2024-01-19 02:41:24,874 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_copysign.c' 2024-01-19 02:41:24,874 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fabs.c' 2024-01-19 02:41:24,874 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_finite.c' 2024-01-19 02:41:24,874 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fma.c' 2024-01-19 02:41:24,874 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fma_riscv.c' 2024-01-19 02:41:24,875 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fmax.c' 2024-01-19 02:41:24,875 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fmin.c' 2024-01-19 02:41:24,875 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_fpclassify.c' 2024-01-19 02:41:24,875 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_isinf.c' 2024-01-19 02:41:24,876 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_isnan.c' 2024-01-19 02:41:24,876 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_llrint.c' 2024-01-19 02:41:24,876 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_llround.c' 2024-01-19 02:41:24,876 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_lrint.c' 2024-01-19 02:41:24,876 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_lround.c' 2024-01-19 02:41:24,877 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/riscv/sf_sqrt.c' 2024-01-19 02:41:24,877 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/sparc/CMakeLists.txt' 2024-01-19 02:41:24,877 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/sparc/fenv.c' 2024-01-19 02:41:24,877 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/sparc/meson.build' 2024-01-19 02:41:24,878 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/llrint.c' 2024-01-19 02:41:24,878 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/llrintf.c' 2024-01-19 02:41:24,878 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/llround.c' 2024-01-19 02:41:24,878 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/llroundf.c' 2024-01-19 02:41:24,879 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/log2.c' 2024-01-19 02:41:24,879 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/log2f.c' 2024-01-19 02:41:24,879 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_asinh.c' 2024-01-19 02:41:24,879 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_atan.c' 2024-01-19 02:41:24,879 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_cbrt.c' 2024-01-19 02:41:24,880 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ceil.c' 2024-01-19 02:41:24,880 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_copysign.c' 2024-01-19 02:41:24,880 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_cos.c' 2024-01-19 02:41:24,880 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_erf.c' 2024-01-19 02:41:24,880 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_expm1.c' 2024-01-19 02:41:24,881 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fabs.c' 2024-01-19 02:41:24,881 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fdim.c' 2024-01-19 02:41:24,881 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_floor.c' 2024-01-19 02:41:24,881 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fma.c' 2024-01-19 02:41:24,882 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fmax.c' 2024-01-19 02:41:24,882 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_fmin.c' 2024-01-19 02:41:24,882 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_frexp.c' 2024-01-19 02:41:24,882 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ilogb.c' 2024-01-19 02:41:24,882 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_isnan.c' 2024-01-19 02:41:24,883 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_ldexp.c' 2024-01-19 02:41:24,883 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_log1p.c' 2024-01-19 02:41:24,883 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_lrint.c' 2024-01-19 02:41:24,883 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_lround.c' 2024-01-19 02:41:24,883 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_nearbyint.c' 2024-01-19 02:41:24,884 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_nextafter.c' 2024-01-19 02:41:24,884 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_remquo.c' 2024-01-19 02:41:24,884 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_rint.c' 2024-01-19 02:41:24,884 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_round.c' 2024-01-19 02:41:24,884 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_scalbn.c' 2024-01-19 02:41:24,885 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_sin.c' 2024-01-19 02:41:24,885 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_tan.c' 2024-01-19 02:41:24,885 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_tanh.c' 2024-01-19 02:41:24,885 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/s_trunc.c' 2024-01-19 02:41:24,886 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_asinh.c' 2024-01-19 02:41:24,886 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_atan.c' 2024-01-19 02:41:24,886 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_cbrt.c' 2024-01-19 02:41:24,886 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ceil.c' 2024-01-19 02:41:24,886 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_copysign.c' 2024-01-19 02:41:24,887 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_cos.c' 2024-01-19 02:41:24,887 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_erf.c' 2024-01-19 02:41:24,887 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_expm1.c' 2024-01-19 02:41:24,887 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fabs.c' 2024-01-19 02:41:24,887 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fdim.c' 2024-01-19 02:41:24,888 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_finite.c' 2024-01-19 02:41:24,888 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_floor.c' 2024-01-19 02:41:24,888 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fma.c' 2024-01-19 02:41:24,888 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fmax.c' 2024-01-19 02:41:24,888 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fmin.c' 2024-01-19 02:41:24,889 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_fpclassify.c' 2024-01-19 02:41:24,889 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_frexp.c' 2024-01-19 02:41:24,889 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ilogb.c' 2024-01-19 02:41:24,889 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isinf.c' 2024-01-19 02:41:24,890 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isinff.c' 2024-01-19 02:41:24,890 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isnan.c' 2024-01-19 02:41:24,890 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_isnanf.c' 2024-01-19 02:41:24,890 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_ldexp.c' 2024-01-19 02:41:24,890 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_log1p.c' 2024-01-19 02:41:24,891 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_logb.c' 2024-01-19 02:41:24,891 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_lrint.c' 2024-01-19 02:41:24,891 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_lround.c' 2024-01-19 02:41:24,891 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nan.c' 2024-01-19 02:41:24,891 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nearbyint.c' 2024-01-19 02:41:24,892 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_nextafter.c' 2024-01-19 02:41:24,892 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_remquo.c' 2024-01-19 02:41:24,892 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_rint.c' 2024-01-19 02:41:24,892 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_round.c' 2024-01-19 02:41:24,893 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_scalbn.c' 2024-01-19 02:41:24,893 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_sin.c' 2024-01-19 02:41:24,893 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_tan.c' 2024-01-19 02:41:24,893 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_tanh.c' 2024-01-19 02:41:24,893 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/sf_trunc.c' 2024-01-19 02:41:24,894 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_acos.c' 2024-01-19 02:41:24,894 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_acosh.c' 2024-01-19 02:41:24,894 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_asin.c' 2024-01-19 02:41:24,894 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_atan2.c' 2024-01-19 02:41:24,894 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_atanh.c' 2024-01-19 02:41:24,895 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_cosh.c' 2024-01-19 02:41:24,895 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_exp.c' 2024-01-19 02:41:24,895 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_exp2.c' 2024-01-19 02:41:24,895 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_fmod.c' 2024-01-19 02:41:24,895 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_hypot.c' 2024-01-19 02:41:24,896 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_lgamma.c' 2024-01-19 02:41:24,896 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_log.c' 2024-01-19 02:41:24,896 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_log10.c' 2024-01-19 02:41:24,896 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_pow.c' 2024-01-19 02:41:24,897 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_remainder.c' 2024-01-19 02:41:24,897 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sincos.c' 2024-01-19 02:41:24,897 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sinh.c' 2024-01-19 02:41:24,897 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_sqrt.c' 2024-01-19 02:41:24,897 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/w_tgamma.c' 2024-01-19 02:41:24,898 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_acos.c' 2024-01-19 02:41:24,898 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_acosh.c' 2024-01-19 02:41:24,898 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_asin.c' 2024-01-19 02:41:24,898 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_atan2.c' 2024-01-19 02:41:24,898 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_atanh.c' 2024-01-19 02:41:24,899 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_cosh.c' 2024-01-19 02:41:24,899 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_exp.c' 2024-01-19 02:41:24,899 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_exp2.c' 2024-01-19 02:41:24,899 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_fmod.c' 2024-01-19 02:41:24,899 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_hypot.c' 2024-01-19 02:41:24,900 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_lgamma.c' 2024-01-19 02:41:24,900 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_log.c' 2024-01-19 02:41:24,900 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_log10.c' 2024-01-19 02:41:24,900 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_pow.c' 2024-01-19 02:41:24,901 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_remainder.c' 2024-01-19 02:41:24,901 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sincos.c' 2024-01-19 02:41:24,901 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sinh.c' 2024-01-19 02:41:24,901 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_sqrt.c' 2024-01-19 02:41:24,901 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/wf_tgamma.c' 2024-01-19 02:41:24,902 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acos.h' 2024-01-19 02:41:24,902 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosd2.h' 2024-01-19 02:41:24,902 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosf.h' 2024-01-19 02:41:24,903 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosf4.h' 2024-01-19 02:41:24,903 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acosh.h' 2024-01-19 02:41:24,903 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshd2.h' 2024-01-19 02:41:24,903 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshf.h' 2024-01-19 02:41:24,903 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/acoshf4.h' 2024-01-19 02:41:24,904 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asin.h' 2024-01-19 02:41:24,904 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asind2.h' 2024-01-19 02:41:24,904 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinf.h' 2024-01-19 02:41:24,904 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinf4.h' 2024-01-19 02:41:24,905 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinh.h' 2024-01-19 02:41:24,905 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhd2.h' 2024-01-19 02:41:24,905 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhf.h' 2024-01-19 02:41:24,905 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/asinhf4.h' 2024-01-19 02:41:24,906 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan.h' 2024-01-19 02:41:24,906 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2.h' 2024-01-19 02:41:24,906 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2d2.h' 2024-01-19 02:41:24,906 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2f.h' 2024-01-19 02:41:24,906 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atan2f4.h' 2024-01-19 02:41:24,907 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atand2.h' 2024-01-19 02:41:24,907 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanf.h' 2024-01-19 02:41:24,907 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanf4.h' 2024-01-19 02:41:24,907 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanh.h' 2024-01-19 02:41:24,908 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhd2.h' 2024-01-19 02:41:24,908 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhf.h' 2024-01-19 02:41:24,908 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/atanhf4.h' 2024-01-19 02:41:24,908 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cbrt.h' 2024-01-19 02:41:24,909 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cbrtf.h' 2024-01-19 02:41:24,909 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ceil.h' 2024-01-19 02:41:24,909 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ceilf.h' 2024-01-19 02:41:24,909 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/copysign.h' 2024-01-19 02:41:24,909 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/copysignf.h' 2024-01-19 02:41:24,910 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cos.h' 2024-01-19 02:41:24,910 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cos_sin.h' 2024-01-19 02:41:24,910 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosd2.h' 2024-01-19 02:41:24,910 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosf.h' 2024-01-19 02:41:24,911 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosf4.h' 2024-01-19 02:41:24,911 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/cosh.h' 2024-01-19 02:41:24,911 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshd2.h' 2024-01-19 02:41:24,911 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshf.h' 2024-01-19 02:41:24,912 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/coshf4.h' 2024-01-19 02:41:24,912 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/divd2.h' 2024-01-19 02:41:24,912 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/divf4.h' 2024-01-19 02:41:24,912 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkd_less_than.h' 2024-01-19 02:41:24,913 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkd_negone_one.h' 2024-01-19 02:41:24,913 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkf_less_than.h' 2024-01-19 02:41:24,913 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/dom_chkf_negone_one.h' 2024-01-19 02:41:24,913 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erf.h' 2024-01-19 02:41:24,913 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erf_utils.h' 2024-01-19 02:41:24,914 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfc.h' 2024-01-19 02:41:24,914 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcd2.h' 2024-01-19 02:41:24,914 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcf.h' 2024-01-19 02:41:24,914 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfcf4.h' 2024-01-19 02:41:24,915 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erfd2.h' 2024-01-19 02:41:24,915 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erff.h' 2024-01-19 02:41:24,915 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/erff4.h' 2024-01-19 02:41:24,916 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp.h' 2024-01-19 02:41:24,916 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2.h' 2024-01-19 02:41:24,916 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2d2.h' 2024-01-19 02:41:24,916 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2f.h' 2024-01-19 02:41:24,916 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/exp2f4.h' 2024-01-19 02:41:24,917 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expd2.h' 2024-01-19 02:41:24,917 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expf.h' 2024-01-19 02:41:24,917 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expf4.h' 2024-01-19 02:41:24,917 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1.h' 2024-01-19 02:41:24,918 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1d2.h' 2024-01-19 02:41:24,918 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1f.h' 2024-01-19 02:41:24,918 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/expm1f4.h' 2024-01-19 02:41:24,918 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fabs.h' 2024-01-19 02:41:24,918 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fabsf.h' 2024-01-19 02:41:24,919 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fdim.h' 2024-01-19 02:41:24,919 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fdimf.h' 2024-01-19 02:41:24,919 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feclearexcept.h' 2024-01-19 02:41:24,919 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fefpscr.h' 2024-01-19 02:41:24,920 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetenv.h' 2024-01-19 02:41:24,920 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetexceptflag.h' 2024-01-19 02:41:24,920 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fegetround.h' 2024-01-19 02:41:24,920 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feholdexcept.h' 2024-01-19 02:41:24,920 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feraiseexcept.h' 2024-01-19 02:41:24,921 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetenv.h' 2024-01-19 02:41:24,921 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetexceptflag.h' 2024-01-19 02:41:24,921 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fesetround.h' 2024-01-19 02:41:24,921 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fetestexcept.h' 2024-01-19 02:41:24,922 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/feupdateenv.h' 2024-01-19 02:41:24,922 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floor.h' 2024-01-19 02:41:24,922 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floord2.h' 2024-01-19 02:41:24,922 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floorf.h' 2024-01-19 02:41:24,922 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/floorf4.h' 2024-01-19 02:41:24,923 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fma.h' 2024-01-19 02:41:24,923 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmaf.h' 2024-01-19 02:41:24,923 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmax.h' 2024-01-19 02:41:24,923 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmaxf.h' 2024-01-19 02:41:24,923 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmin.h' 2024-01-19 02:41:24,924 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fminf.h' 2024-01-19 02:41:24,924 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmod.h' 2024-01-19 02:41:24,924 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/fmodf.h' 2024-01-19 02:41:24,924 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/frexp.h' 2024-01-19 02:41:24,925 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/frexpf.h' 2024-01-19 02:41:24,925 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypot.h' 2024-01-19 02:41:24,925 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotd2.h' 2024-01-19 02:41:24,925 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotf.h' 2024-01-19 02:41:24,926 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/hypotf4.h' 2024-01-19 02:41:24,926 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ilogb.h' 2024-01-19 02:41:24,926 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ilogbf.h' 2024-01-19 02:41:24,926 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnan.h' 2024-01-19 02:41:24,926 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnand2.h' 2024-01-19 02:41:24,927 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnanf.h' 2024-01-19 02:41:24,927 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/isnanf4.h' 2024-01-19 02:41:24,927 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexp.h' 2024-01-19 02:41:24,927 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpd2.h' 2024-01-19 02:41:24,928 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpf.h' 2024-01-19 02:41:24,928 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/ldexpf4.h' 2024-01-19 02:41:24,928 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgamma.h' 2024-01-19 02:41:24,928 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammad2.h' 2024-01-19 02:41:24,928 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammaf.h' 2024-01-19 02:41:24,929 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lgammaf4.h' 2024-01-19 02:41:24,929 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llrint.h' 2024-01-19 02:41:24,929 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llrintf.h' 2024-01-19 02:41:24,930 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llround.h' 2024-01-19 02:41:24,930 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/llroundf.h' 2024-01-19 02:41:24,930 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log.h' 2024-01-19 02:41:24,930 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10.h' 2024-01-19 02:41:24,930 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10d2.h' 2024-01-19 02:41:24,931 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log10f.h' 2024-01-19 02:41:24,931 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1p.h' 2024-01-19 02:41:24,931 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pd2.h' 2024-01-19 02:41:24,931 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pf.h' 2024-01-19 02:41:24,932 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log1pf4.h' 2024-01-19 02:41:24,932 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2.h' 2024-01-19 02:41:24,932 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2d2.h' 2024-01-19 02:41:24,932 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2f.h' 2024-01-19 02:41:24,932 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/log2f4.h' 2024-01-19 02:41:24,933 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logbf.h' 2024-01-19 02:41:24,933 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logbf4.h' 2024-01-19 02:41:24,933 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logd2.h' 2024-01-19 02:41:24,933 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logf.h' 2024-01-19 02:41:24,934 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/logf4.h' 2024-01-19 02:41:24,934 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lrint.h' 2024-01-19 02:41:24,934 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lrintf.h' 2024-01-19 02:41:24,934 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lround.h' 2024-01-19 02:41:24,934 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/lroundf.h' 2024-01-19 02:41:24,935 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyint.h' 2024-01-19 02:41:24,935 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyintf.h' 2024-01-19 02:41:24,935 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nearbyintf4.h' 2024-01-19 02:41:24,935 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafter.h' 2024-01-19 02:41:24,935 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterd2.h' 2024-01-19 02:41:24,936 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterf.h' 2024-01-19 02:41:24,936 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/nextafterf4.h' 2024-01-19 02:41:24,936 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/pow.h' 2024-01-19 02:41:24,936 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powd2.h' 2024-01-19 02:41:24,937 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powf.h' 2024-01-19 02:41:24,937 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/powf4.h' 2024-01-19 02:41:24,937 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/recipd2.h' 2024-01-19 02:41:24,937 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/recipf4.h' 2024-01-19 02:41:24,938 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remainder.h' 2024-01-19 02:41:24,938 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remainderf.h' 2024-01-19 02:41:24,938 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remquo.h' 2024-01-19 02:41:24,938 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/remquof.h' 2024-01-19 02:41:24,939 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rint.h' 2024-01-19 02:41:24,939 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rintf.h' 2024-01-19 02:41:24,939 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/rintf4.h' 2024-01-19 02:41:24,939 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/round.h' 2024-01-19 02:41:24,939 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/roundf.h' 2024-01-19 02:41:24,940 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbn.h' 2024-01-19 02:41:24,940 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbnf.h' 2024-01-19 02:41:24,940 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/scalbnf4.h' 2024-01-19 02:41:24,940 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/signbit.h' 2024-01-19 02:41:24,941 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/signbitd2.h' 2024-01-19 02:41:24,941 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/simdmath.h' 2024-01-19 02:41:24,941 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sin.h' 2024-01-19 02:41:24,941 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincos.h' 2024-01-19 02:41:24,941 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosd2.h' 2024-01-19 02:41:24,942 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosf.h' 2024-01-19 02:41:24,942 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sincosf4.h' 2024-01-19 02:41:24,942 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sind2.h' 2024-01-19 02:41:24,942 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinf.h' 2024-01-19 02:41:24,943 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinf4.h' 2024-01-19 02:41:24,943 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinh.h' 2024-01-19 02:41:24,943 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhd2.h' 2024-01-19 02:41:24,943 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhf.h' 2024-01-19 02:41:24,943 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sinhf4.h' 2024-01-19 02:41:24,944 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrt.h' 2024-01-19 02:41:24,944 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtd2.h' 2024-01-19 02:41:24,944 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtf.h' 2024-01-19 02:41:24,944 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/sqrtf4.h' 2024-01-19 02:41:24,945 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tan.h' 2024-01-19 02:41:24,945 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tand2.h' 2024-01-19 02:41:24,945 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanf.h' 2024-01-19 02:41:24,945 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanf4.h' 2024-01-19 02:41:24,946 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanh.h' 2024-01-19 02:41:24,946 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhd2.h' 2024-01-19 02:41:24,946 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhf.h' 2024-01-19 02:41:24,946 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tanhf4.h' 2024-01-19 02:41:24,946 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgamma.h' 2024-01-19 02:41:24,947 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammad2.h' 2024-01-19 02:41:24,947 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammaf.h' 2024-01-19 02:41:24,947 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/tgammaf4.h' 2024-01-19 02:41:24,947 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/trunc.h' 2024-01-19 02:41:24,948 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncd2.h' 2024-01-19 02:41:24,948 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncf.h' 2024-01-19 02:41:24,948 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/truncf4.h' 2024-01-19 02:41:24,948 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/spu/headers/vec_literal.h' 2024-01-19 02:41:24,949 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/CMakeLists.txt' 2024-01-19 02:41:24,949 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_atan2.S' 2024-01-19 02:41:24,949 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_atan2f.S' 2024-01-19 02:41:24,949 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_exp.c' 2024-01-19 02:41:24,949 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_expf.c' 2024-01-19 02:41:24,950 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_frexp.S' 2024-01-19 02:41:24,950 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_frexpf.S' 2024-01-19 02:41:24,950 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_ldexp.S' 2024-01-19 02:41:24,950 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_ldexpf.S' 2024-01-19 02:41:24,951 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrint.c' 2024-01-19 02:41:24,951 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrintf.c' 2024-01-19 02:41:24,951 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_llrintl.c' 2024-01-19 02:41:24,951 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log.S' 2024-01-19 02:41:24,951 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log10.S' 2024-01-19 02:41:24,952 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_log10f.S' 2024-01-19 02:41:24,952 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_logf.S' 2024-01-19 02:41:24,952 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrint.c' 2024-01-19 02:41:24,952 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrintf.c' 2024-01-19 02:41:24,952 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_lrintl.c' 2024-01-19 02:41:24,953 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_math.h' 2024-01-19 02:41:24,953 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_pow.c' 2024-01-19 02:41:24,953 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_powf.c' 2024-01-19 02:41:24,953 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rint.c' 2024-01-19 02:41:24,953 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rintf.c' 2024-01-19 02:41:24,954 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_rintl.c' 2024-01-19 02:41:24,954 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_tan.S' 2024-01-19 02:41:24,954 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/f_tanf.S' 2024-01-19 02:41:24,954 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/fenv.c' 2024-01-19 02:41:24,955 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/i386mach.h' 2024-01-19 02:41:24,955 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/x86/meson.build' 2024-01-19 02:41:24,955 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/CMakeLists.txt' 2024-01-19 02:41:24,955 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feclearexcept.c' 2024-01-19 02:41:24,955 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fedisableexcept.c' 2024-01-19 02:41:24,956 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feenableexcept.c' 2024-01-19 02:41:24,956 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetenv.c' 2024-01-19 02:41:24,956 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetexcept.c' 2024-01-19 02:41:24,956 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetexceptflag.c' 2024-01-19 02:41:24,957 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fegetround.c' 2024-01-19 02:41:24,957 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feholdexcept.c' 2024-01-19 02:41:24,957 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feraiseexcept.c' 2024-01-19 02:41:24,957 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetenv.c' 2024-01-19 02:41:24,957 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetexceptflag.c' 2024-01-19 02:41:24,958 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fesetround.c' 2024-01-19 02:41:24,958 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/fetestexcept.c' 2024-01-19 02:41:24,958 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/feupdateenv.c' 2024-01-19 02:41:24,958 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/machine/xtensa/meson.build' 2024-01-19 02:41:24,959 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/CMakeLists.txt' 2024-01-19 02:41:24,959 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/k_cos.c' 2024-01-19 02:41:24,959 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/k_rem_pio2.c' 2024-01-19 02:41:24,959 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/k_sin.c' 2024-01-19 02:41:24,960 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/k_tan.c' 2024-01-19 02:41:24,960 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/kf_cos.c' 2024-01-19 02:41:24,960 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/kf_rem_pio2.c' 2024-01-19 02:41:24,960 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/kf_sin.c' 2024-01-19 02:41:24,960 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/kf_tan.c' 2024-01-19 02:41:24,961 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/math.tex' 2024-01-19 02:41:24,961 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/meson.build' 2024-01-19 02:41:24,961 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_acos.c' 2024-01-19 02:41:24,961 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_acosh.c' 2024-01-19 02:41:24,962 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_asin.c' 2024-01-19 02:41:24,962 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_asinh.c' 2024-01-19 02:41:24,962 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_atan.c' 2024-01-19 02:41:24,962 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_atan2.c' 2024-01-19 02:41:24,962 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_atanh.c' 2024-01-19 02:41:24,963 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_ceil.c' 2024-01-19 02:41:24,963 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_cos.c' 2024-01-19 02:41:24,963 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_cosh.c' 2024-01-19 02:41:24,963 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_drem.c' 2024-01-19 02:41:24,964 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_erf.c' 2024-01-19 02:41:24,964 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_exp.c' 2024-01-19 02:41:24,964 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_exp2.c' 2024-01-19 02:41:24,964 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_fabs.c' 2024-01-19 02:41:24,964 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_floor.c' 2024-01-19 02:41:24,965 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_fmod.c' 2024-01-19 02:41:24,965 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_frexp.c' 2024-01-19 02:41:24,965 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_gamma.c' 2024-01-19 02:41:24,965 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_hypot.c' 2024-01-19 02:41:24,966 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_j0.c' 2024-01-19 02:41:24,966 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_j1.c' 2024-01-19 02:41:24,966 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_jn.c' 2024-01-19 02:41:24,967 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_lgamma.c' 2024-01-19 02:41:24,967 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_log.c' 2024-01-19 02:41:24,967 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_log10.c' 2024-01-19 02:41:24,967 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_pow.c' 2024-01-19 02:41:24,967 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_rem_pio2.c' 2024-01-19 02:41:24,968 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_remainder.c' 2024-01-19 02:41:24,968 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_scalb.c' 2024-01-19 02:41:24,968 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_signif.c' 2024-01-19 02:41:24,968 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_sin.c' 2024-01-19 02:41:24,969 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_sincos.c' 2024-01-19 02:41:24,969 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_sinh.c' 2024-01-19 02:41:24,969 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_sqrt.c' 2024-01-19 02:41:24,969 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_tan.c' 2024-01-19 02:41:24,969 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_tanh.c' 2024-01-19 02:41:24,970 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/s_tgamma.c' 2024-01-19 02:41:24,970 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_acos.c' 2024-01-19 02:41:24,970 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_acosh.c' 2024-01-19 02:41:24,970 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_asin.c' 2024-01-19 02:41:24,971 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_asinh.c' 2024-01-19 02:41:24,971 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_atan.c' 2024-01-19 02:41:24,971 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_atan2.c' 2024-01-19 02:41:24,971 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_atanh.c' 2024-01-19 02:41:24,971 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_ceil.c' 2024-01-19 02:41:24,972 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_cos.c' 2024-01-19 02:41:24,972 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_cosh.c' 2024-01-19 02:41:24,972 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_drem.c' 2024-01-19 02:41:24,972 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_erf.c' 2024-01-19 02:41:24,973 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_exp.c' 2024-01-19 02:41:24,973 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_exp2.c' 2024-01-19 02:41:24,973 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_fabs.c' 2024-01-19 02:41:24,973 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_floor.c' 2024-01-19 02:41:24,974 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_fmod.c' 2024-01-19 02:41:24,974 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_frexp.c' 2024-01-19 02:41:24,974 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_gamma.c' 2024-01-19 02:41:24,974 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_hypot.c' 2024-01-19 02:41:24,975 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_j0.c' 2024-01-19 02:41:24,975 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_j1.c' 2024-01-19 02:41:24,975 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_jn.c' 2024-01-19 02:41:24,975 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_lgamma.c' 2024-01-19 02:41:24,976 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_log.c' 2024-01-19 02:41:24,976 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_log10.c' 2024-01-19 02:41:24,976 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_log2.c' 2024-01-19 02:41:24,977 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_pow.c' 2024-01-19 02:41:24,977 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_rem_pio2.c' 2024-01-19 02:41:24,977 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_remainder.c' 2024-01-19 02:41:24,977 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_scalb.c' 2024-01-19 02:41:24,977 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_signif.c' 2024-01-19 02:41:24,978 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_sin.c' 2024-01-19 02:41:24,978 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_sincos.c' 2024-01-19 02:41:24,978 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_sinh.c' 2024-01-19 02:41:24,978 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_sqrt.c' 2024-01-19 02:41:24,978 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_tan.c' 2024-01-19 02:41:24,979 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_tanh.c' 2024-01-19 02:41:24,979 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sf_tgamma.c' 2024-01-19 02:41:24,979 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sl_hypot.c' 2024-01-19 02:41:24,979 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/sr_lgamma.c' 2024-01-19 02:41:24,980 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/math/srf_lgamma.c' 2024-01-19 02:41:24,980 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/acos_vec.c' 2024-01-19 02:41:24,980 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/acosf_vec.c' 2024-01-19 02:41:24,981 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/acosh_vec.c' 2024-01-19 02:41:24,981 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/acoshf_vec.c' 2024-01-19 02:41:24,982 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/asin_vec.c' 2024-01-19 02:41:24,982 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/asinf_vec.c' 2024-01-19 02:41:24,982 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/asinh_vec.c' 2024-01-19 02:41:24,983 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/asinhf_vec.c' 2024-01-19 02:41:24,984 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/atan2_vec.c' 2024-01-19 02:41:24,985 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/atan2f_vec.c' 2024-01-19 02:41:24,986 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/atan_vec.c' 2024-01-19 02:41:24,987 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/atanf_vec.c' 2024-01-19 02:41:24,987 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/atanh_vec.c' 2024-01-19 02:41:24,987 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/atanhf_vec.c' 2024-01-19 02:41:24,988 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/ceil_vec.c' 2024-01-19 02:41:24,988 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/ceilf_vec.c' 2024-01-19 02:41:24,989 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/conv_vec.c' 2024-01-19 02:41:24,990 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/convert.c' 2024-01-19 02:41:24,990 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/copysign_vec.c' 2024-01-19 02:41:24,990 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/copysignf_vec.c' 2024-01-19 02:41:24,991 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/cos_vec.c' 2024-01-19 02:41:24,991 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/cosf_vec.c' 2024-01-19 02:41:24,991 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/cosh_vec.c' 2024-01-19 02:41:24,992 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/coshf_vec.c' 2024-01-19 02:41:24,992 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/dcvt.c' 2024-01-19 02:41:24,992 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/dvec.c' 2024-01-19 02:41:24,993 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/erf_vec.c' 2024-01-19 02:41:24,993 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/erfc_vec.c' 2024-01-19 02:41:24,994 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/erfcf_vec.c' 2024-01-19 02:41:24,994 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/erff_vec.c' 2024-01-19 02:41:24,994 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/exp_vec.c' 2024-01-19 02:41:24,995 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/expf_vec.c' 2024-01-19 02:41:24,995 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/fabs_vec.c' 2024-01-19 02:41:24,996 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/fabsf_vec.c' 2024-01-19 02:41:24,996 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/floor_vec.c' 2024-01-19 02:41:24,996 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/floorf_vec.c' 2024-01-19 02:41:24,997 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/fmod_vec.c' 2024-01-19 02:41:24,997 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/fmodf_vec.c' 2024-01-19 02:41:24,998 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/gamma_vec.c' 2024-01-19 02:41:24,998 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/gammaf_vec.c' 2024-01-19 02:41:24,998 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/hypot_vec.c' 2024-01-19 02:41:24,999 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/hypotf_vec.c' 2024-01-19 02:41:24,999 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/iconv_vec.c' 2024-01-19 02:41:25,000 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/issignaling_vec.c' 2024-01-19 02:41:25,001 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/j0_vec.c' 2024-01-19 02:41:25,001 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/j0f_vec.c' 2024-01-19 02:41:25,001 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/j1_vec.c' 2024-01-19 02:41:25,002 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/j1f_vec.c' 2024-01-19 02:41:25,002 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/jn_vec.c' 2024-01-19 02:41:25,003 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/jnf_vec.c' 2024-01-19 02:41:25,003 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/log10_vec.c' 2024-01-19 02:41:25,003 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/log10f_vec.c' 2024-01-19 02:41:25,004 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/log1p_vec.c' 2024-01-19 02:41:25,004 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/log1pf_vec.c' 2024-01-19 02:41:25,005 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/log2_vec.c' 2024-01-19 02:41:25,005 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/log2f_vec.c' 2024-01-19 02:41:25,005 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/log_vec.c' 2024-01-19 02:41:25,006 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/logf_vec.c' 2024-01-19 02:41:25,006 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/math.c' 2024-01-19 02:41:25,006 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/math2.c' 2024-01-19 02:41:25,007 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/meson.build' 2024-01-19 02:41:25,007 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/modf_vec.c' 2024-01-19 02:41:25,007 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/modff_vec.c' 2024-01-19 02:41:25,007 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/pow_vec.c' 2024-01-19 02:41:25,008 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/powf_vec.c' 2024-01-19 02:41:25,008 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/scalb_vec.c' 2024-01-19 02:41:25,008 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/scalbn_vec.c' 2024-01-19 02:41:25,008 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sin_vec.c' 2024-01-19 02:41:25,009 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sinf_vec.c' 2024-01-19 02:41:25,009 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sinh_vec.c' 2024-01-19 02:41:25,009 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sinhf_vec.c' 2024-01-19 02:41:25,010 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sprint_ivec.c' 2024-01-19 02:41:25,012 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sprint_vec.c' 2024-01-19 02:41:25,013 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sqrt_vec.c' 2024-01-19 02:41:25,014 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/sqrtf_vec.c' 2024-01-19 02:41:25,014 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/string.c' 2024-01-19 02:41:25,014 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/tan_vec.c' 2024-01-19 02:41:25,015 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/tanf_vec.c' 2024-01-19 02:41:25,015 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/tanh_vec.c' 2024-01-19 02:41:25,016 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/tanhf_vec.c' 2024-01-19 02:41:25,016 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/test.c' 2024-01-19 02:41:25,016 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/test.h' 2024-01-19 02:41:25,016 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/test_ieee.c' 2024-01-19 02:41:25,017 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/test_is.c' 2024-01-19 02:41:25,017 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/trunc_vec.c' 2024-01-19 02:41:25,018 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/truncf_vec.c' 2024-01-19 02:41:25,018 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/y0_vec.c' 2024-01-19 02:41:25,018 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/y0f_vec.c' 2024-01-19 02:41:25,019 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/y1_vec.c' 2024-01-19 02:41:25,019 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/y1f_vec.c' 2024-01-19 02:41:25,019 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/yn_vec.c' 2024-01-19 02:41:25,020 wheel INFO adding 'pythondata_software_picolibc/data/newlib/libm/test/ynf_vec.c' 2024-01-19 02:41:25,020 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/meson.build' 2024-01-19 02:41:25,020 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/stdio-bits.c' 2024-01-19 02:41:25,021 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/config/default.exp' 2024-01-19 02:41:25,021 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/include/check.h' 2024-01-19 02:41:25,021 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/lib/checkoutput.exp' 2024-01-19 02:41:25,021 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/lib/flags.exp' 2024-01-19 02:41:25,022 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/lib/newlib.exp' 2024-01-19 02:41:25,022 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/lib/passfail.exp' 2024-01-19 02:41:25,022 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.elix/elix.exp' 2024-01-19 02:41:25,022 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.elix/tmmap.c' 2024-01-19 02:41:25,023 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconv.exp' 2024-01-19 02:41:25,023 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvjp.c' 2024-01-19 02:41:25,023 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvnm.c' 2024-01-19 02:41:25,024 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/iconvru.c' 2024-01-19 02:41:25,024 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.iconv/meson.build' 2024-01-19 02:41:25,024 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/UTF-8.c' 2024-01-19 02:41:25,024 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/UTF-8.exp' 2024-01-19 02:41:25,025 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/locale.exp' 2024-01-19 02:41:25,025 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.locale/meson.build' 2024-01-19 02:41:25,025 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.search/hsearchtest.c' 2024-01-19 02:41:25,025 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.search/hsearchtest.exp' 2024-01-19 02:41:25,026 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.search/meson.build' 2024-01-19 02:41:25,026 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/meson.build' 2024-01-19 02:41:25,026 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/nulprintf.c' 2024-01-19 02:41:25,026 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/stdio.exp' 2024-01-19 02:41:25,026 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdio/swprintf.c' 2024-01-19 02:41:25,027 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/atexit.c' 2024-01-19 02:41:25,027 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/atexit.exp' 2024-01-19 02:41:25,027 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/meson.build' 2024-01-19 02:41:25,027 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/size_max.c' 2024-01-19 02:41:25,028 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.stdlib/stdlib.exp' 2024-01-19 02:41:25,028 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.string/memcpy-1.c' 2024-01-19 02:41:25,028 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.string/memmove1.c' 2024-01-19 02:41:25,028 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.string/meson.build' 2024-01-19 02:41:25,028 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.string/strcmp-1.c' 2024-01-19 02:41:25,029 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.string/string.exp' 2024-01-19 02:41:25,029 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.string/tstring.c' 2024-01-19 02:41:25,029 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.time/meson.build' 2024-01-19 02:41:25,029 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.time/time.exp' 2024-01-19 02:41:25,030 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.time/tzset.c' 2024-01-19 02:41:25,030 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/meson.build' 2024-01-19 02:41:25,030 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/tiswctype.c' 2024-01-19 02:41:25,030 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/twctrans.c' 2024-01-19 02:41:25,031 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/twctype.c' 2024-01-19 02:41:25,031 wheel INFO adding 'pythondata_software_picolibc/data/newlib/testsuite/newlib.wctype/wctype.exp' 2024-01-19 02:41:25,031 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/CMakeLists.txt' 2024-01-19 02:41:25,031 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/crt0.h' 2024-01-19 02:41:25,032 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/meson.build' 2024-01-19 02:41:25,032 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/aarch64/crt0.c' 2024-01-19 02:41:25,032 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/aarch64/meson.build' 2024-01-19 02:41:25,032 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/arm/CMakeLists.txt' 2024-01-19 02:41:25,033 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/arm/crt0.c' 2024-01-19 02:41:25,033 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/arm/meson.build' 2024-01-19 02:41:25,033 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/riscv/crt0.c' 2024-01-19 02:41:25,033 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/riscv/meson.build' 2024-01-19 02:41:25,034 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/x86/crt0-32.S' 2024-01-19 02:41:25,034 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/x86/crt0-64.S' 2024-01-19 02:41:25,034 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/x86/crt0.S' 2024-01-19 02:41:25,034 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/machine/x86/meson.build' 2024-01-19 02:41:25,035 wheel INFO adding 'pythondata_software_picolibc/data/picocrt/shared/crt0.c' 2024-01-19 02:41:25,035 wheel INFO adding 'pythondata_software_picolibc/data/scripts/GeneratePicolibcCrossFile.sh' 2024-01-19 02:41:25,035 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-aarch64-linux-gnu.txt' 2024-01-19 02:41:25,035 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-aarch64-zephyr-elf.txt' 2024-01-19 02:41:25,036 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-arc-zephyr-elf.txt' 2024-01-19 02:41:25,036 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-arc64-zephyr-elf.txt' 2024-01-19 02:41:25,036 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-arm-none-eabi.txt' 2024-01-19 02:41:25,036 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-arm-zephyr-eabi.txt' 2024-01-19 02:41:25,036 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-msp430.txt' 2024-01-19 02:41:25,037 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-old-riscv64-unknown-elf.txt' 2024-01-19 02:41:25,037 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-old-rv32imafdc-unknown-elf.txt' 2024-01-19 02:41:25,037 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-riscv64-unknown-elf.txt' 2024-01-19 02:41:25,037 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-rv32imafdc-unknown-elf.txt' 2024-01-19 02:41:25,038 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-thumbv6m-none-eabi.txt' 2024-01-19 02:41:25,038 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-thumbv7e+fp-none-eabi.txt' 2024-01-19 02:41:25,038 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-clang-thumbv7m-none-eabi.txt' 2024-01-19 02:41:25,038 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-cortex-a9-none-eabi.txt' 2024-01-19 02:41:25,038 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-i686-linux-gnu.txt' 2024-01-19 02:41:25,039 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-m68k-linux-gnu.txt' 2024-01-19 02:41:25,039 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-mips-linux-gnu.txt' 2024-01-19 02:41:25,039 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-mipsel-linux-gnu.txt' 2024-01-19 02:41:25,039 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-msp430.txt' 2024-01-19 02:41:25,039 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-nios2-zephyr-elf.txt' 2024-01-19 02:41:25,040 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-old-clang-riscv64-unknown-elf.txt' 2024-01-19 02:41:25,040 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-powerpc64-linux-gnu.txt' 2024-01-19 02:41:25,040 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-powerpc64le-linux-gnu.txt' 2024-01-19 02:41:25,040 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-riscv64-unknown-elf.txt' 2024-01-19 02:41:25,040 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-riscv64-zephyr-elf.txt' 2024-01-19 02:41:25,041 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-rv32imac.txt' 2024-01-19 02:41:25,041 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-rv32imac_zicsr.txt' 2024-01-19 02:41:25,041 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-sparc64-linux-gnu.txt' 2024-01-19 02:41:25,041 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-x86-linux-gnu.txt' 2024-01-19 02:41:25,041 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-x86_64-linux-gnu.txt' 2024-01-19 02:41:25,042 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-esp32-elf.txt' 2024-01-19 02:41:25,042 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32_zephyr-elf.txt' 2024-01-19 02:41:25,042 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32s2_zephyr-elf.txt' 2024-01-19 02:41:25,042 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-espressif_esp32s2_zephyr_elf.txt' 2024-01-19 02:41:25,042 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_apl_adsp_zephyr-elf.txt' 2024-01-19 02:41:25,043 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_apl_adsp_zephyr_elf.txt' 2024-01-19 02:41:25,043 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_bdw_adsp_zephyr-elf.txt' 2024-01-19 02:41:25,043 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_bdw_adsp_zephyr_elf.txt' 2024-01-19 02:41:25,043 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_byt_adsp_zephyr-elf.txt' 2024-01-19 02:41:25,043 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_byt_adsp_zephyr_elf.txt' 2024-01-19 02:41:25,044 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_s1000_zephyr-elf.txt' 2024-01-19 02:41:25,044 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-intel_s1000_zephyr_elf.txt' 2024-01-19 02:41:25,044 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-lx106-elf.txt' 2024-01-19 02:41:25,044 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx8m_adsp_zephyr-elf.txt' 2024-01-19 02:41:25,045 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx8m_adsp_zephyr_elf.txt' 2024-01-19 02:41:25,045 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx_adsp_zephyr-elf.txt' 2024-01-19 02:41:25,045 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-nxp_imx_adsp_zephyr_elf.txt' 2024-01-19 02:41:25,045 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-sample_controller_zephyr-elf.txt' 2024-01-19 02:41:25,045 wheel INFO adding 'pythondata_software_picolibc/data/scripts/cross-xtensa-sample_controller_zephyr_elf.txt' 2024-01-19 02:41:25,046 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-aarch64-configure' 2024-01-19 02:41:25,046 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-aarch64-zephyr-elf-configure' 2024-01-19 02:41:25,046 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-arc-configure' 2024-01-19 02:41:25,046 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-arc64-configure' 2024-01-19 02:41:25,046 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-arm-configure' 2024-01-19 02:41:25,047 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-clang-arm-configure' 2024-01-19 02:41:25,047 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-clang-msp430-configure' 2024-01-19 02:41:25,047 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-clang-riscv-configure' 2024-01-19 02:41:25,047 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-clang-rv32imafdc-configure' 2024-01-19 02:41:25,047 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-clang-thumbv6m-configure' 2024-01-19 02:41:25,048 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-clang-thumbv7e+fp-configure' 2024-01-19 02:41:25,048 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-clang-thumbv7m-configure' 2024-01-19 02:41:25,048 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-cmake-thumbv7m-configure' 2024-01-19 02:41:25,048 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-configure' 2024-01-19 02:41:25,048 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-cortex-a9-configure' 2024-01-19 02:41:25,049 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-esp32-configure' 2024-01-19 02:41:25,049 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-freedom-tools-configure' 2024-01-19 02:41:25,049 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-freedom-tools-package' 2024-01-19 02:41:25,049 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-i386-configure' 2024-01-19 02:41:25,050 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-lx106-configure' 2024-01-19 02:41:25,050 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-m68k-configure' 2024-01-19 02:41:25,050 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-mips-configure' 2024-01-19 02:41:25,050 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-mipsel-configure' 2024-01-19 02:41:25,050 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-msp430-configure' 2024-01-19 02:41:25,051 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-native-configure' 2024-01-19 02:41:25,051 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-nios2-configure' 2024-01-19 02:41:25,051 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-powerpc64-configure' 2024-01-19 02:41:25,051 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-powerpc64le-configure' 2024-01-19 02:41:25,051 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-riscv-configure' 2024-01-19 02:41:25,052 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-rv32imac-configure' 2024-01-19 02:41:25,052 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-sparc64-configure' 2024-01-19 02:41:25,052 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-x86-configure' 2024-01-19 02:41:25,052 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-x86_64-configure' 2024-01-19 02:41:25,052 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-espressif_esp32-configure' 2024-01-19 02:41:25,053 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-espressif_esp32s2-configure' 2024-01-19 02:41:25,053 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-intel_apl_adsp-configure' 2024-01-19 02:41:25,053 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-intel_bdw_adsp-configure' 2024-01-19 02:41:25,053 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-intel_byt_adsp-configure' 2024-01-19 02:41:25,054 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-intel_s1000-configure' 2024-01-19 02:41:25,054 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-nxp_imx8m_adsp-configure' 2024-01-19 02:41:25,054 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-nxp_imx_adsp-configure' 2024-01-19 02:41:25,054 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-xtensa-sample_controller-configure' 2024-01-19 02:41:25,054 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-zephyr-aarch64-configure' 2024-01-19 02:41:25,055 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-zephyr-arm-configure' 2024-01-19 02:41:25,055 wheel INFO adding 'pythondata_software_picolibc/data/scripts/do-zephyr-riscv-configure' 2024-01-19 02:41:25,055 wheel INFO adding 'pythondata_software_picolibc/data/scripts/duplicate-names' 2024-01-19 02:41:25,055 wheel INFO adding 'pythondata_software_picolibc/data/scripts/monitor-e9' 2024-01-19 02:41:25,055 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-aarch64' 2024-01-19 02:41:25,056 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-arm' 2024-01-19 02:41:25,056 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-cortex-a9' 2024-01-19 02:41:25,056 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-i386' 2024-01-19 02:41:25,056 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-riscv' 2024-01-19 02:41:25,056 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-rv32imac' 2024-01-19 02:41:25,057 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-rv32imafdc' 2024-01-19 02:41:25,057 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-thumbv6m' 2024-01-19 02:41:25,057 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-thumbv7e' 2024-01-19 02:41:25,057 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-thumbv7m' 2024-01-19 02:41:25,058 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-x86' 2024-01-19 02:41:25,058 wheel INFO adding 'pythondata_software_picolibc/data/scripts/run-x86_64' 2024-01-19 02:41:25,058 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-aarch64.ld' 2024-01-19 02:41:25,058 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-arm.ld' 2024-01-19 02:41:25,058 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-cortex-a9.ld' 2024-01-19 02:41:25,059 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-i386.ld' 2024-01-19 02:41:25,059 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-m68k.ld' 2024-01-19 02:41:25,059 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-riscv.ld' 2024-01-19 02:41:25,059 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-riscv32.ld' 2024-01-19 02:41:25,059 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-riscv64.ld' 2024-01-19 02:41:25,060 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-x86.ld' 2024-01-19 02:41:25,060 wheel INFO adding 'pythondata_software_picolibc/data/scripts/test-x86_64.ld' 2024-01-19 02:41:25,060 wheel INFO adding 'pythondata_software_picolibc/data/semihost/CMakeLists.txt' 2024-01-19 02:41:25,060 wheel INFO adding 'pythondata_software_picolibc/data/semihost/close.c' 2024-01-19 02:41:25,061 wheel INFO adding 'pythondata_software_picolibc/data/semihost/exit.c' 2024-01-19 02:41:25,061 wheel INFO adding 'pythondata_software_picolibc/data/semihost/fstat.c' 2024-01-19 02:41:25,061 wheel INFO adding 'pythondata_software_picolibc/data/semihost/getentropy.c' 2024-01-19 02:41:25,061 wheel INFO adding 'pythondata_software_picolibc/data/semihost/gettimeofday.c' 2024-01-19 02:41:25,062 wheel INFO adding 'pythondata_software_picolibc/data/semihost/iob.c' 2024-01-19 02:41:25,062 wheel INFO adding 'pythondata_software_picolibc/data/semihost/isatty.c' 2024-01-19 02:41:25,062 wheel INFO adding 'pythondata_software_picolibc/data/semihost/kill.c' 2024-01-19 02:41:25,062 wheel INFO adding 'pythondata_software_picolibc/data/semihost/lseek.c' 2024-01-19 02:41:25,062 wheel INFO adding 'pythondata_software_picolibc/data/semihost/lseek64.c' 2024-01-19 02:41:25,063 wheel INFO adding 'pythondata_software_picolibc/data/semihost/mapstdio.c' 2024-01-19 02:41:25,063 wheel INFO adding 'pythondata_software_picolibc/data/semihost/meson.build' 2024-01-19 02:41:25,063 wheel INFO adding 'pythondata_software_picolibc/data/semihost/open.c' 2024-01-19 02:41:25,063 wheel INFO adding 'pythondata_software_picolibc/data/semihost/read.c' 2024-01-19 02:41:25,063 wheel INFO adding 'pythondata_software_picolibc/data/semihost/semihost-private.h' 2024-01-19 02:41:25,064 wheel INFO adding 'pythondata_software_picolibc/data/semihost/semihost.h' 2024-01-19 02:41:25,064 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_clock.c' 2024-01-19 02:41:25,064 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_close.c' 2024-01-19 02:41:25,064 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_elapsed.c' 2024-01-19 02:41:25,065 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_errno.c' 2024-01-19 02:41:25,065 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_exit.c' 2024-01-19 02:41:25,065 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_exit_extended.c' 2024-01-19 02:41:25,065 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_feature.c' 2024-01-19 02:41:25,065 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_flen.c' 2024-01-19 02:41:25,066 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_get_cmdline.c' 2024-01-19 02:41:25,066 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_getc.c' 2024-01-19 02:41:25,066 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_heapinfo.c' 2024-01-19 02:41:25,066 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_iserror.c' 2024-01-19 02:41:25,066 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_istty.c' 2024-01-19 02:41:25,067 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_open.c' 2024-01-19 02:41:25,067 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_putc.c' 2024-01-19 02:41:25,067 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_read.c' 2024-01-19 02:41:25,067 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_remove.c' 2024-01-19 02:41:25,067 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_rename.c' 2024-01-19 02:41:25,068 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_seek.c' 2024-01-19 02:41:25,068 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_system.c' 2024-01-19 02:41:25,068 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_tickfreq.c' 2024-01-19 02:41:25,068 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_time.c' 2024-01-19 02:41:25,069 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_tmpnam.c' 2024-01-19 02:41:25,069 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_write.c' 2024-01-19 02:41:25,069 wheel INFO adding 'pythondata_software_picolibc/data/semihost/sys_write0.c' 2024-01-19 02:41:25,069 wheel INFO adding 'pythondata_software_picolibc/data/semihost/unlink.c' 2024-01-19 02:41:25,069 wheel INFO adding 'pythondata_software_picolibc/data/semihost/write.c' 2024-01-19 02:41:25,070 wheel INFO adding 'pythondata_software_picolibc/data/semihost/fake/fake_exit.c' 2024-01-19 02:41:25,070 wheel INFO adding 'pythondata_software_picolibc/data/semihost/fake/fake_io.c' 2024-01-19 02:41:25,070 wheel INFO adding 'pythondata_software_picolibc/data/semihost/fake/fake_kill.c' 2024-01-19 02:41:25,070 wheel INFO adding 'pythondata_software_picolibc/data/semihost/fake/fake_stub.c' 2024-01-19 02:41:25,071 wheel INFO adding 'pythondata_software_picolibc/data/semihost/fake/meson.build' 2024-01-19 02:41:25,071 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/aarch64/meson.build' 2024-01-19 02:41:25,071 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/aarch64/semihost-aarch64.S' 2024-01-19 02:41:25,071 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/arm/CMakeLists.txt' 2024-01-19 02:41:25,071 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/arm/meson.build' 2024-01-19 02:41:25,072 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/arm/semihost-arm.S' 2024-01-19 02:41:25,072 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/riscv/meson.build' 2024-01-19 02:41:25,072 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/riscv/semihost-riscv.s' 2024-01-19 02:41:25,072 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/x86/bios.S' 2024-01-19 02:41:25,073 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/x86/bios.ld' 2024-01-19 02:41:25,073 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/x86/e9_exit.c' 2024-01-19 02:41:25,073 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/x86/e9_io.c' 2024-01-19 02:41:25,073 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/x86/e9_kill.c' 2024-01-19 02:41:25,073 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/x86/e9_stub.c' 2024-01-19 02:41:25,074 wheel INFO adding 'pythondata_software_picolibc/data/semihost/machine/x86/meson.build' 2024-01-19 02:41:25,074 wheel INFO adding 'pythondata_software_picolibc/data/test/CMakeLists.txt' 2024-01-19 02:41:25,074 wheel INFO adding 'pythondata_software_picolibc/data/test/abort.c' 2024-01-19 02:41:25,074 wheel INFO adding 'pythondata_software_picolibc/data/test/atexit.c' 2024-01-19 02:41:25,075 wheel INFO adding 'pythondata_software_picolibc/data/test/complex-funcs.c' 2024-01-19 02:41:25,075 wheel INFO adding 'pythondata_software_picolibc/data/test/constructor-skip.c' 2024-01-19 02:41:25,075 wheel INFO adding 'pythondata_software_picolibc/data/test/constructor.c' 2024-01-19 02:41:25,075 wheel INFO adding 'pythondata_software_picolibc/data/test/fenv.c' 2024-01-19 02:41:25,076 wheel INFO adding 'pythondata_software_picolibc/data/test/ffs.c' 2024-01-19 02:41:25,076 wheel INFO adding 'pythondata_software_picolibc/data/test/hosted-exit.c' 2024-01-19 02:41:25,076 wheel INFO adding 'pythondata_software_picolibc/data/test/lock-valid.c' 2024-01-19 02:41:25,076 wheel INFO adding 'pythondata_software_picolibc/data/test/malloc.c' 2024-01-19 02:41:25,076 wheel INFO adding 'pythondata_software_picolibc/data/test/malloc_stress.c' 2024-01-19 02:41:25,077 wheel INFO adding 'pythondata_software_picolibc/data/test/math-funcs.c' 2024-01-19 02:41:25,077 wheel INFO adding 'pythondata_software_picolibc/data/test/math_errhandling.c' 2024-01-19 02:41:25,077 wheel INFO adding 'pythondata_software_picolibc/data/test/math_errhandling_tests.c' 2024-01-19 02:41:25,078 wheel INFO adding 'pythondata_software_picolibc/data/test/meson.build' 2024-01-19 02:41:25,078 wheel INFO adding 'pythondata_software_picolibc/data/test/on_exit.c' 2024-01-19 02:41:25,078 wheel INFO adding 'pythondata_software_picolibc/data/test/posix-io.c' 2024-01-19 02:41:25,079 wheel INFO adding 'pythondata_software_picolibc/data/test/printf-tests.c' 2024-01-19 02:41:25,079 wheel INFO adding 'pythondata_software_picolibc/data/test/printf_scanf.c' 2024-01-19 02:41:25,079 wheel INFO adding 'pythondata_software_picolibc/data/test/rand.c' 2024-01-19 02:41:25,079 wheel INFO adding 'pythondata_software_picolibc/data/test/regex.c' 2024-01-19 02:41:25,080 wheel INFO adding 'pythondata_software_picolibc/data/test/rounding-mode-sub.c' 2024-01-19 02:41:25,080 wheel INFO adding 'pythondata_software_picolibc/data/test/rounding-mode.c' 2024-01-19 02:41:25,080 wheel INFO adding 'pythondata_software_picolibc/data/test/setjmp.c' 2024-01-19 02:41:25,080 wheel INFO adding 'pythondata_software_picolibc/data/test/stack-smash.c' 2024-01-19 02:41:25,080 wheel INFO adding 'pythondata_software_picolibc/data/test/test-efcvt.c' 2024-01-19 02:41:25,081 wheel INFO adding 'pythondata_software_picolibc/data/test/test-except.c' 2024-01-19 02:41:25,081 wheel INFO adding 'pythondata_software_picolibc/data/test/test-fopen.c' 2024-01-19 02:41:25,081 wheel INFO adding 'pythondata_software_picolibc/data/test/test-memset.c' 2024-01-19 02:41:25,081 wheel INFO adding 'pythondata_software_picolibc/data/test/test-mktemp.c' 2024-01-19 02:41:25,082 wheel INFO adding 'pythondata_software_picolibc/data/test/test-put.c' 2024-01-19 02:41:25,082 wheel INFO adding 'pythondata_software_picolibc/data/test/test-strchr.c' 2024-01-19 02:41:25,082 wheel INFO adding 'pythondata_software_picolibc/data/test/test-strtod.c' 2024-01-19 02:41:25,082 wheel INFO adding 'pythondata_software_picolibc/data/test/testcases.c' 2024-01-19 02:41:25,083 wheel INFO adding 'pythondata_software_picolibc/data/test/time-sprintf.c' 2024-01-19 02:41:25,083 wheel INFO adding 'pythondata_software_picolibc/data/test/time-tests.c' 2024-01-19 02:41:25,083 wheel INFO adding 'pythondata_software_picolibc/data/test/timegm.c' 2024-01-19 02:41:25,084 wheel INFO adding 'pythondata_software_picolibc/data/test/timegm.h' 2024-01-19 02:41:25,086 wheel INFO adding 'pythondata_software_picolibc/data/test/tls.c' 2024-01-19 02:41:25,086 wheel INFO adding 'pythondata_software_picolibc/data/test/try-ilp32-sub.c' 2024-01-19 02:41:25,086 wheel INFO adding 'pythondata_software_picolibc/data/test/try-ilp32.c' 2024-01-19 02:41:25,086 wheel INFO adding 'pythondata_software_picolibc/data/test/try-ilp32.h' 2024-01-19 02:41:25,086 wheel INFO adding 'pythondata_software_picolibc/data/test/ungetc.c' 2024-01-19 02:41:25,087 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/basename.c' 2024-01-19 02:41:25,087 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/dirname.c' 2024-01-19 02:41:25,087 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/fnmatch.c' 2024-01-19 02:41:25,087 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/meson.build' 2024-01-19 02:41:25,088 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/qsort.c' 2024-01-19 02:41:25,088 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/snprintf.c' 2024-01-19 02:41:25,088 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/sscanf.c' 2024-01-19 02:41:25,088 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/string.c' 2024-01-19 02:41:25,089 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/strtod.c' 2024-01-19 02:41:25,089 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/strtol.c' 2024-01-19 02:41:25,089 wheel INFO adding 'pythondata_software_picolibc/data/test/libc-testsuite/testcase.h' 2024-01-19 02:41:25,089 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/meson.build' 2024-01-19 02:41:25,089 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-argv.c' 2024-01-19 02:41:25,090 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-clock.c' 2024-01-19 02:41:25,090 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-close.c' 2024-01-19 02:41:25,090 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-elapsed.c' 2024-01-19 02:41:25,090 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-errno.c' 2024-01-19 02:41:25,091 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-exit-extended-failure.c' 2024-01-19 02:41:25,091 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-exit-extended.c' 2024-01-19 02:41:25,091 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-exit-failure.c' 2024-01-19 02:41:25,091 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-exit.c' 2024-01-19 02:41:25,091 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-flen.c' 2024-01-19 02:41:25,092 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-get-cmdline.c' 2024-01-19 02:41:25,092 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-gettimeofday.c' 2024-01-19 02:41:25,092 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-heapinfo.c' 2024-01-19 02:41:25,092 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-iserror.c' 2024-01-19 02:41:25,092 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-istty.c' 2024-01-19 02:41:25,093 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-open.c' 2024-01-19 02:41:25,093 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-read.c' 2024-01-19 02:41:25,093 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-readc.c' 2024-01-19 02:41:25,093 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-remove.c' 2024-01-19 02:41:25,094 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-rename.c' 2024-01-19 02:41:25,094 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-seek.c' 2024-01-19 02:41:25,094 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-system-failure.c' 2024-01-19 02:41:25,094 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-system.c' 2024-01-19 02:41:25,094 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-tickfreq.c' 2024-01-19 02:41:25,095 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-time.c' 2024-01-19 02:41:25,095 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-tmpnam.c' 2024-01-19 02:41:25,095 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-tmpname.c' 2024-01-19 02:41:25,095 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-write.c' 2024-01-19 02:41:25,095 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-write0.c' 2024-01-19 02:41:25,096 wheel INFO adding 'pythondata_software_picolibc/data/test/semihost/semihost-writec.c' 2024-01-19 02:41:25,096 wheel INFO adding 'pythondata_software_picolibc/data/zephyr/Kconfig' 2024-01-19 02:41:25,096 wheel INFO adding 'pythondata_software_picolibc/data/zephyr/module.yml' 2024-01-19 02:41:25,096 wheel INFO adding 'pythondata_software_picolibc/data/zephyr/zephyr.cmake' 2024-01-19 02:41:25,097 wheel INFO adding 'pythondata_software_picolibc-1.7.9.post181.dist-info/LICENSE' 2024-01-19 02:41:25,097 wheel INFO adding 'pythondata_software_picolibc-1.7.9.post181.dist-info/METADATA' 2024-01-19 02:41:25,097 wheel INFO adding 'pythondata_software_picolibc-1.7.9.post181.dist-info/WHEEL' 2024-01-19 02:41:25,097 wheel INFO adding 'pythondata_software_picolibc-1.7.9.post181.dist-info/top_level.txt' 2024-01-19 02:41:25,111 wheel INFO adding 'pythondata_software_picolibc-1.7.9.post181.dist-info/RECORD' 2024-01-19 02:41:25,121 wheel INFO removing build/bdist.linux-x86_64/wheel 2024-01-19 02:41:25,192 gpep517 INFO The backend produced dist/pythondata_software_picolibc-1.7.9.post181-py3-none-any.whl pythondata_software_picolibc-1.7.9.post181-py3-none-any.whl --> Building LiteX Hub module valentyusb 2024-01-19 02:41:25,402 gpep517 INFO Building wheel via backend setuptools.build_meta:__legacy__ 2024-01-19 02:41:25,430 root INFO running bdist_wheel 2024-01-19 02:41:25,447 root INFO running build 2024-01-19 02:41:25,447 root INFO running build_py 2024-01-19 02:41:25,449 root INFO creating build 2024-01-19 02:41:25,449 root INFO creating build/lib 2024-01-19 02:41:25,449 root INFO creating build/lib/valentyusb 2024-01-19 02:41:25,449 root INFO copying valentyusb/__init__.py -> build/lib/valentyusb 2024-01-19 02:41:25,450 root INFO creating build/lib/valentyusb/usbcore 2024-01-19 02:41:25,450 root INFO copying valentyusb/usbcore/io.py -> build/lib/valentyusb/usbcore 2024-01-19 02:41:25,450 root INFO copying valentyusb/usbcore/__init__.py -> build/lib/valentyusb/usbcore 2024-01-19 02:41:25,450 root INFO copying valentyusb/usbcore/endpoint.py -> build/lib/valentyusb/usbcore 2024-01-19 02:41:25,451 root INFO copying valentyusb/usbcore/io_test.py -> build/lib/valentyusb/usbcore 2024-01-19 02:41:25,451 root INFO copying valentyusb/usbcore/pid.py -> build/lib/valentyusb/usbcore 2024-01-19 02:41:25,451 root INFO creating build/lib/valentyusb/usbcore/tx 2024-01-19 02:41:25,452 root INFO copying valentyusb/usbcore/tx/bitstuff.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 02:41:25,452 root INFO copying valentyusb/usbcore/tx/tester.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 02:41:25,452 root INFO copying valentyusb/usbcore/tx/nrzi.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 02:41:25,452 root INFO copying valentyusb/usbcore/tx/__init__.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 02:41:25,452 root INFO copying valentyusb/usbcore/tx/pipeline.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 02:41:25,453 root INFO copying valentyusb/usbcore/tx/shifter_test.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 02:41:25,453 root INFO copying valentyusb/usbcore/tx/pipeline_test.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 02:41:25,453 root INFO copying valentyusb/usbcore/tx/shifter.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 02:41:25,453 root INFO copying valentyusb/usbcore/tx/crc_test.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 02:41:25,454 root INFO copying valentyusb/usbcore/tx/nrzi_test.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 02:41:25,454 root INFO copying valentyusb/usbcore/tx/crc.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 02:41:25,454 root INFO copying valentyusb/usbcore/tx/bitstuff_test.py -> build/lib/valentyusb/usbcore/tx 2024-01-19 02:41:25,455 root INFO creating build/lib/valentyusb/usbcore/test 2024-01-19 02:41:25,455 root INFO copying valentyusb/usbcore/test/common.py -> build/lib/valentyusb/usbcore/test 2024-01-19 02:41:25,455 root INFO copying valentyusb/usbcore/test/__init__.py -> build/lib/valentyusb/usbcore/test 2024-01-19 02:41:25,455 root INFO copying valentyusb/usbcore/test/clock.py -> build/lib/valentyusb/usbcore/test 2024-01-19 02:41:25,456 root INFO creating build/lib/valentyusb/usbcore/sm 2024-01-19 02:41:25,456 root INFO copying valentyusb/usbcore/sm/header.py -> build/lib/valentyusb/usbcore/sm 2024-01-19 02:41:25,456 root INFO copying valentyusb/usbcore/sm/send_test.py -> build/lib/valentyusb/usbcore/sm 2024-01-19 02:41:25,456 root INFO copying valentyusb/usbcore/sm/__init__.py -> build/lib/valentyusb/usbcore/sm 2024-01-19 02:41:25,457 root INFO copying valentyusb/usbcore/sm/transfer_test.py -> build/lib/valentyusb/usbcore/sm 2024-01-19 02:41:25,457 root INFO copying valentyusb/usbcore/sm/transfer.py -> build/lib/valentyusb/usbcore/sm 2024-01-19 02:41:25,457 root INFO copying valentyusb/usbcore/sm/send.py -> build/lib/valentyusb/usbcore/sm 2024-01-19 02:41:25,457 root INFO copying valentyusb/usbcore/sm/header_test.py -> build/lib/valentyusb/usbcore/sm 2024-01-19 02:41:25,458 root INFO creating build/lib/valentyusb/usbcore/utils 2024-01-19 02:41:25,458 root INFO copying valentyusb/usbcore/utils/bits.py -> build/lib/valentyusb/usbcore/utils 2024-01-19 02:41:25,458 root INFO copying valentyusb/usbcore/utils/CrcMoose3.py -> build/lib/valentyusb/usbcore/utils 2024-01-19 02:41:25,458 root INFO copying valentyusb/usbcore/utils/__init__.py -> build/lib/valentyusb/usbcore/utils 2024-01-19 02:41:25,459 root INFO copying valentyusb/usbcore/utils/vcd.py -> build/lib/valentyusb/usbcore/utils 2024-01-19 02:41:25,459 root INFO copying valentyusb/usbcore/utils/asserts.py -> build/lib/valentyusb/usbcore/utils 2024-01-19 02:41:25,459 root INFO copying valentyusb/usbcore/utils/sdiff.py -> build/lib/valentyusb/usbcore/utils 2024-01-19 02:41:25,459 root INFO copying valentyusb/usbcore/utils/packet.py -> build/lib/valentyusb/usbcore/utils 2024-01-19 02:41:25,460 root INFO copying valentyusb/usbcore/utils/pprint.py -> build/lib/valentyusb/usbcore/utils 2024-01-19 02:41:25,460 root INFO creating build/lib/valentyusb/usbcore/rx 2024-01-19 02:41:25,460 root INFO copying valentyusb/usbcore/rx/bitstuff.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 02:41:25,461 root INFO copying valentyusb/usbcore/rx/nrzi.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 02:41:25,461 root INFO copying valentyusb/usbcore/rx/__init__.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 02:41:25,461 root INFO copying valentyusb/usbcore/rx/pipeline.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 02:41:25,461 root INFO copying valentyusb/usbcore/rx/clock.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 02:41:25,461 root INFO copying valentyusb/usbcore/rx/shifter_test.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 02:41:25,462 root INFO copying valentyusb/usbcore/rx/pipeline_test.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 02:41:25,462 root INFO copying valentyusb/usbcore/rx/detect.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 02:41:25,462 root INFO copying valentyusb/usbcore/rx/clock_test.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 02:41:25,462 root INFO copying valentyusb/usbcore/rx/shifter.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 02:41:25,463 root INFO copying valentyusb/usbcore/rx/crc_test.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 02:41:25,463 root INFO copying valentyusb/usbcore/rx/nrzi_test.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 02:41:25,463 root INFO copying valentyusb/usbcore/rx/crc.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 02:41:25,463 root INFO copying valentyusb/usbcore/rx/bitstuff_test.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 02:41:25,464 root INFO copying valentyusb/usbcore/rx/detect_test.py -> build/lib/valentyusb/usbcore/rx 2024-01-19 02:41:25,464 root INFO creating build/lib/valentyusb/usbcore/cpu 2024-01-19 02:41:25,464 root INFO copying valentyusb/usbcore/cpu/dummyusb.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 02:41:25,464 root INFO copying valentyusb/usbcore/cpu/epmem.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 02:41:25,465 root INFO copying valentyusb/usbcore/cpu/eptri_test.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 02:41:25,465 root INFO copying valentyusb/usbcore/cpu/epfifo_test.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 02:41:25,465 root INFO copying valentyusb/usbcore/cpu/unififo.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 02:41:25,465 root INFO copying valentyusb/usbcore/cpu/__init__.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 02:41:25,465 root INFO copying valentyusb/usbcore/cpu/epfifo.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 02:41:25,466 root INFO copying valentyusb/usbcore/cpu/eptri.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 02:41:25,466 root INFO copying valentyusb/usbcore/cpu/cdc_eptri.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 02:41:25,466 root INFO copying valentyusb/usbcore/cpu/epmem_test.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 02:41:25,466 root INFO copying valentyusb/usbcore/cpu/usbwishbonebridge.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 02:41:25,467 root INFO copying valentyusb/usbcore/cpu/unififo_test.py -> build/lib/valentyusb/usbcore/cpu 2024-01-19 02:41:25,467 root INFO running egg_info 2024-01-19 02:41:25,467 root INFO creating valentyusb.egg-info 2024-01-19 02:41:25,468 root INFO writing valentyusb.egg-info/PKG-INFO 2024-01-19 02:41:25,469 root INFO writing dependency_links to valentyusb.egg-info/dependency_links.txt 2024-01-19 02:41:25,469 root INFO writing top-level names to valentyusb.egg-info/top_level.txt 2024-01-19 02:41:25,469 root INFO writing manifest file 'valentyusb.egg-info/SOURCES.txt' 2024-01-19 02:41:25,473 root INFO reading manifest file 'valentyusb.egg-info/SOURCES.txt' 2024-01-19 02:41:25,473 root INFO adding license file 'LICENSE' 2024-01-19 02:41:25,475 root INFO writing manifest file 'valentyusb.egg-info/SOURCES.txt' 2024-01-19 02:41:25,481 wheel INFO installing to build/bdist.linux-x86_64/wheel 2024-01-19 02:41:25,481 root INFO running install 2024-01-19 02:41:25,490 root INFO running install_lib 2024-01-19 02:41:25,492 root INFO creating build/bdist.linux-x86_64 2024-01-19 02:41:25,492 root INFO creating build/bdist.linux-x86_64/wheel 2024-01-19 02:41:25,492 root INFO creating build/bdist.linux-x86_64/wheel/valentyusb 2024-01-19 02:41:25,492 root INFO copying build/lib/valentyusb/__init__.py -> build/bdist.linux-x86_64/wheel/valentyusb 2024-01-19 02:41:25,492 root INFO creating build/bdist.linux-x86_64/wheel/valentyusb/usbcore 2024-01-19 02:41:25,493 root INFO creating build/bdist.linux-x86_64/wheel/valentyusb/usbcore/tx 2024-01-19 02:41:25,493 root INFO copying build/lib/valentyusb/usbcore/tx/bitstuff.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/tx 2024-01-19 02:41:25,493 root INFO copying build/lib/valentyusb/usbcore/tx/tester.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/tx 2024-01-19 02:41:25,493 root INFO copying build/lib/valentyusb/usbcore/tx/nrzi.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/tx 2024-01-19 02:41:25,493 root INFO copying build/lib/valentyusb/usbcore/tx/__init__.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/tx 2024-01-19 02:41:25,493 root INFO copying build/lib/valentyusb/usbcore/tx/pipeline.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/tx 2024-01-19 02:41:25,494 root INFO copying build/lib/valentyusb/usbcore/tx/shifter_test.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/tx 2024-01-19 02:41:25,494 root INFO copying build/lib/valentyusb/usbcore/tx/pipeline_test.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/tx 2024-01-19 02:41:25,494 root INFO copying build/lib/valentyusb/usbcore/tx/shifter.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/tx 2024-01-19 02:41:25,494 root INFO copying build/lib/valentyusb/usbcore/tx/crc_test.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/tx 2024-01-19 02:41:25,494 root INFO copying build/lib/valentyusb/usbcore/tx/nrzi_test.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/tx 2024-01-19 02:41:25,495 root INFO copying build/lib/valentyusb/usbcore/tx/crc.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/tx 2024-01-19 02:41:25,495 root INFO copying build/lib/valentyusb/usbcore/tx/bitstuff_test.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/tx 2024-01-19 02:41:25,495 root INFO creating build/bdist.linux-x86_64/wheel/valentyusb/usbcore/test 2024-01-19 02:41:25,495 root INFO copying build/lib/valentyusb/usbcore/test/common.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/test 2024-01-19 02:41:25,495 root INFO copying build/lib/valentyusb/usbcore/test/__init__.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/test 2024-01-19 02:41:25,496 root INFO copying build/lib/valentyusb/usbcore/test/clock.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/test 2024-01-19 02:41:25,496 root INFO copying build/lib/valentyusb/usbcore/io.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore 2024-01-19 02:41:25,496 root INFO creating build/bdist.linux-x86_64/wheel/valentyusb/usbcore/sm 2024-01-19 02:41:25,496 root INFO copying build/lib/valentyusb/usbcore/sm/header.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/sm 2024-01-19 02:41:25,496 root INFO copying build/lib/valentyusb/usbcore/sm/send_test.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/sm 2024-01-19 02:41:25,497 root INFO copying build/lib/valentyusb/usbcore/sm/__init__.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/sm 2024-01-19 02:41:25,497 root INFO copying build/lib/valentyusb/usbcore/sm/transfer_test.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/sm 2024-01-19 02:41:25,497 root INFO copying build/lib/valentyusb/usbcore/sm/transfer.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/sm 2024-01-19 02:41:25,497 root INFO copying build/lib/valentyusb/usbcore/sm/send.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/sm 2024-01-19 02:41:25,497 root INFO copying build/lib/valentyusb/usbcore/sm/header_test.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/sm 2024-01-19 02:41:25,498 root INFO creating build/bdist.linux-x86_64/wheel/valentyusb/usbcore/utils 2024-01-19 02:41:25,498 root INFO copying build/lib/valentyusb/usbcore/utils/bits.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/utils 2024-01-19 02:41:25,498 root INFO copying build/lib/valentyusb/usbcore/utils/CrcMoose3.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/utils 2024-01-19 02:41:25,498 root INFO copying build/lib/valentyusb/usbcore/utils/__init__.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/utils 2024-01-19 02:41:25,498 root INFO copying build/lib/valentyusb/usbcore/utils/vcd.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/utils 2024-01-19 02:41:25,499 root INFO copying build/lib/valentyusb/usbcore/utils/asserts.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/utils 2024-01-19 02:41:25,499 root INFO copying build/lib/valentyusb/usbcore/utils/sdiff.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/utils 2024-01-19 02:41:25,499 root INFO copying build/lib/valentyusb/usbcore/utils/packet.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/utils 2024-01-19 02:41:25,499 root INFO copying build/lib/valentyusb/usbcore/utils/pprint.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/utils 2024-01-19 02:41:25,499 root INFO copying build/lib/valentyusb/usbcore/__init__.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore 2024-01-19 02:41:25,500 root INFO creating build/bdist.linux-x86_64/wheel/valentyusb/usbcore/rx 2024-01-19 02:41:25,500 root INFO copying build/lib/valentyusb/usbcore/rx/bitstuff.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/rx 2024-01-19 02:41:25,500 root INFO copying build/lib/valentyusb/usbcore/rx/nrzi.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/rx 2024-01-19 02:41:25,500 root INFO copying build/lib/valentyusb/usbcore/rx/__init__.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/rx 2024-01-19 02:41:25,500 root INFO copying build/lib/valentyusb/usbcore/rx/pipeline.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/rx 2024-01-19 02:41:25,501 root INFO copying build/lib/valentyusb/usbcore/rx/clock.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/rx 2024-01-19 02:41:25,501 root INFO copying build/lib/valentyusb/usbcore/rx/shifter_test.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/rx 2024-01-19 02:41:25,501 root INFO copying build/lib/valentyusb/usbcore/rx/pipeline_test.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/rx 2024-01-19 02:41:25,501 root INFO copying build/lib/valentyusb/usbcore/rx/detect.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/rx 2024-01-19 02:41:25,501 root INFO copying build/lib/valentyusb/usbcore/rx/clock_test.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/rx 2024-01-19 02:41:25,502 root INFO copying build/lib/valentyusb/usbcore/rx/shifter.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/rx 2024-01-19 02:41:25,502 root INFO copying build/lib/valentyusb/usbcore/rx/crc_test.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/rx 2024-01-19 02:41:25,502 root INFO copying build/lib/valentyusb/usbcore/rx/nrzi_test.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/rx 2024-01-19 02:41:25,502 root INFO copying build/lib/valentyusb/usbcore/rx/crc.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/rx 2024-01-19 02:41:25,502 root INFO copying build/lib/valentyusb/usbcore/rx/bitstuff_test.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/rx 2024-01-19 02:41:25,503 root INFO copying build/lib/valentyusb/usbcore/rx/detect_test.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/rx 2024-01-19 02:41:25,503 root INFO creating build/bdist.linux-x86_64/wheel/valentyusb/usbcore/cpu 2024-01-19 02:41:25,503 root INFO copying build/lib/valentyusb/usbcore/cpu/dummyusb.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/cpu 2024-01-19 02:41:25,503 root INFO copying build/lib/valentyusb/usbcore/cpu/epmem.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/cpu 2024-01-19 02:41:25,503 root INFO copying build/lib/valentyusb/usbcore/cpu/eptri_test.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/cpu 2024-01-19 02:41:25,503 root INFO copying build/lib/valentyusb/usbcore/cpu/epfifo_test.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/cpu 2024-01-19 02:41:25,504 root INFO copying build/lib/valentyusb/usbcore/cpu/unififo.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/cpu 2024-01-19 02:41:25,504 root INFO copying build/lib/valentyusb/usbcore/cpu/__init__.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/cpu 2024-01-19 02:41:25,504 root INFO copying build/lib/valentyusb/usbcore/cpu/epfifo.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/cpu 2024-01-19 02:41:25,504 root INFO copying build/lib/valentyusb/usbcore/cpu/eptri.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/cpu 2024-01-19 02:41:25,505 root INFO copying build/lib/valentyusb/usbcore/cpu/cdc_eptri.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/cpu 2024-01-19 02:41:25,505 root INFO copying build/lib/valentyusb/usbcore/cpu/epmem_test.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/cpu 2024-01-19 02:41:25,505 root INFO copying build/lib/valentyusb/usbcore/cpu/usbwishbonebridge.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/cpu 2024-01-19 02:41:25,505 root INFO copying build/lib/valentyusb/usbcore/cpu/unififo_test.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore/cpu 2024-01-19 02:41:25,505 root INFO copying build/lib/valentyusb/usbcore/endpoint.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore 2024-01-19 02:41:25,505 root INFO copying build/lib/valentyusb/usbcore/io_test.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore 2024-01-19 02:41:25,506 root INFO copying build/lib/valentyusb/usbcore/pid.py -> build/bdist.linux-x86_64/wheel/valentyusb/usbcore 2024-01-19 02:41:25,506 root INFO running install_egg_info 2024-01-19 02:41:25,509 root INFO Copying valentyusb.egg-info to build/bdist.linux-x86_64/wheel/valentyusb-0.0.0-py3.11.egg-info 2024-01-19 02:41:25,510 root INFO running install_scripts 2024-01-19 02:41:25,512 wheel INFO creating build/bdist.linux-x86_64/wheel/valentyusb-0.0.0.dist-info/WHEEL 2024-01-19 02:41:25,512 wheel INFO creating '/home/buildozer/aports/testing/py3-litex-hub-modules/src/valentyusb-2023.12/dist/.tmp-dcnehc42/valentyusb-0.0.0-py3-none-any.whl' and adding 'build/bdist.linux-x86_64/wheel' to it 2024-01-19 02:41:25,512 wheel INFO adding 'valentyusb/__init__.py' 2024-01-19 02:41:25,513 wheel INFO adding 'valentyusb/usbcore/__init__.py' 2024-01-19 02:41:25,513 wheel INFO adding 'valentyusb/usbcore/endpoint.py' 2024-01-19 02:41:25,513 wheel INFO adding 'valentyusb/usbcore/io.py' 2024-01-19 02:41:25,513 wheel INFO adding 'valentyusb/usbcore/io_test.py' 2024-01-19 02:41:25,514 wheel INFO adding 'valentyusb/usbcore/pid.py' 2024-01-19 02:41:25,514 wheel INFO adding 'valentyusb/usbcore/cpu/__init__.py' 2024-01-19 02:41:25,514 wheel INFO adding 'valentyusb/usbcore/cpu/cdc_eptri.py' 2024-01-19 02:41:25,515 wheel INFO adding 'valentyusb/usbcore/cpu/dummyusb.py' 2024-01-19 02:41:25,515 wheel INFO adding 'valentyusb/usbcore/cpu/epfifo.py' 2024-01-19 02:41:25,515 wheel INFO adding 'valentyusb/usbcore/cpu/epfifo_test.py' 2024-01-19 02:41:25,516 wheel INFO adding 'valentyusb/usbcore/cpu/epmem.py' 2024-01-19 02:41:25,516 wheel INFO adding 'valentyusb/usbcore/cpu/epmem_test.py' 2024-01-19 02:41:25,516 wheel INFO adding 'valentyusb/usbcore/cpu/eptri.py' 2024-01-19 02:41:25,516 wheel INFO adding 'valentyusb/usbcore/cpu/eptri_test.py' 2024-01-19 02:41:25,517 wheel INFO adding 'valentyusb/usbcore/cpu/unififo.py' 2024-01-19 02:41:25,517 wheel INFO adding 'valentyusb/usbcore/cpu/unififo_test.py' 2024-01-19 02:41:25,517 wheel INFO adding 'valentyusb/usbcore/cpu/usbwishbonebridge.py' 2024-01-19 02:41:25,518 wheel INFO adding 'valentyusb/usbcore/rx/__init__.py' 2024-01-19 02:41:25,518 wheel INFO adding 'valentyusb/usbcore/rx/bitstuff.py' 2024-01-19 02:41:25,518 wheel INFO adding 'valentyusb/usbcore/rx/bitstuff_test.py' 2024-01-19 02:41:25,518 wheel INFO adding 'valentyusb/usbcore/rx/clock.py' 2024-01-19 02:41:25,519 wheel INFO adding 'valentyusb/usbcore/rx/clock_test.py' 2024-01-19 02:41:25,519 wheel INFO adding 'valentyusb/usbcore/rx/crc.py' 2024-01-19 02:41:25,519 wheel INFO adding 'valentyusb/usbcore/rx/crc_test.py' 2024-01-19 02:41:25,519 wheel INFO adding 'valentyusb/usbcore/rx/detect.py' 2024-01-19 02:41:25,520 wheel INFO adding 'valentyusb/usbcore/rx/detect_test.py' 2024-01-19 02:41:25,520 wheel INFO adding 'valentyusb/usbcore/rx/nrzi.py' 2024-01-19 02:41:25,520 wheel INFO adding 'valentyusb/usbcore/rx/nrzi_test.py' 2024-01-19 02:41:25,520 wheel INFO adding 'valentyusb/usbcore/rx/pipeline.py' 2024-01-19 02:41:25,520 wheel INFO adding 'valentyusb/usbcore/rx/pipeline_test.py' 2024-01-19 02:41:25,521 wheel INFO adding 'valentyusb/usbcore/rx/shifter.py' 2024-01-19 02:41:25,521 wheel INFO adding 'valentyusb/usbcore/rx/shifter_test.py' 2024-01-19 02:41:25,521 wheel INFO adding 'valentyusb/usbcore/sm/__init__.py' 2024-01-19 02:41:25,521 wheel INFO adding 'valentyusb/usbcore/sm/header.py' 2024-01-19 02:41:25,522 wheel INFO adding 'valentyusb/usbcore/sm/header_test.py' 2024-01-19 02:41:25,522 wheel INFO adding 'valentyusb/usbcore/sm/send.py' 2024-01-19 02:41:25,522 wheel INFO adding 'valentyusb/usbcore/sm/send_test.py' 2024-01-19 02:41:25,522 wheel INFO adding 'valentyusb/usbcore/sm/transfer.py' 2024-01-19 02:41:25,523 wheel INFO adding 'valentyusb/usbcore/sm/transfer_test.py' 2024-01-19 02:41:25,523 wheel INFO adding 'valentyusb/usbcore/test/__init__.py' 2024-01-19 02:41:25,523 wheel INFO adding 'valentyusb/usbcore/test/clock.py' 2024-01-19 02:41:25,523 wheel INFO adding 'valentyusb/usbcore/test/common.py' 2024-01-19 02:41:25,524 wheel INFO adding 'valentyusb/usbcore/tx/__init__.py' 2024-01-19 02:41:25,524 wheel INFO adding 'valentyusb/usbcore/tx/bitstuff.py' 2024-01-19 02:41:25,524 wheel INFO adding 'valentyusb/usbcore/tx/bitstuff_test.py' 2024-01-19 02:41:25,525 wheel INFO adding 'valentyusb/usbcore/tx/crc.py' 2024-01-19 02:41:25,525 wheel INFO adding 'valentyusb/usbcore/tx/crc_test.py' 2024-01-19 02:41:25,525 wheel INFO adding 'valentyusb/usbcore/tx/nrzi.py' 2024-01-19 02:41:25,525 wheel INFO adding 'valentyusb/usbcore/tx/nrzi_test.py' 2024-01-19 02:41:25,525 wheel INFO adding 'valentyusb/usbcore/tx/pipeline.py' 2024-01-19 02:41:25,526 wheel INFO adding 'valentyusb/usbcore/tx/pipeline_test.py' 2024-01-19 02:41:25,526 wheel INFO adding 'valentyusb/usbcore/tx/shifter.py' 2024-01-19 02:41:25,526 wheel INFO adding 'valentyusb/usbcore/tx/shifter_test.py' 2024-01-19 02:41:25,526 wheel INFO adding 'valentyusb/usbcore/tx/tester.py' 2024-01-19 02:41:25,527 wheel INFO adding 'valentyusb/usbcore/utils/CrcMoose3.py' 2024-01-19 02:41:25,527 wheel INFO adding 'valentyusb/usbcore/utils/__init__.py' 2024-01-19 02:41:25,527 wheel INFO adding 'valentyusb/usbcore/utils/asserts.py' 2024-01-19 02:41:25,527 wheel INFO adding 'valentyusb/usbcore/utils/bits.py' 2024-01-19 02:41:25,528 wheel INFO adding 'valentyusb/usbcore/utils/packet.py' 2024-01-19 02:41:25,528 wheel INFO adding 'valentyusb/usbcore/utils/pprint.py' 2024-01-19 02:41:25,528 wheel INFO adding 'valentyusb/usbcore/utils/sdiff.py' 2024-01-19 02:41:25,529 wheel INFO adding 'valentyusb/usbcore/utils/vcd.py' 2024-01-19 02:41:25,529 wheel INFO adding 'valentyusb-0.0.0.dist-info/LICENSE' 2024-01-19 02:41:25,530 wheel INFO adding 'valentyusb-0.0.0.dist-info/METADATA' 2024-01-19 02:41:25,530 wheel INFO adding 'valentyusb-0.0.0.dist-info/WHEEL' 2024-01-19 02:41:25,530 wheel INFO adding 'valentyusb-0.0.0.dist-info/top_level.txt' 2024-01-19 02:41:25,530 wheel INFO adding 'valentyusb-0.0.0.dist-info/RECORD' 2024-01-19 02:41:25,531 wheel INFO removing build/bdist.linux-x86_64/wheel 2024-01-19 02:41:25,533 gpep517 INFO The backend produced dist/valentyusb-0.0.0-py3-none-any.whl valentyusb-0.0.0-py3-none-any.whl >>> py3-litex-hub-modules: Entering fakeroot... >>> py3-litex-hub-modules-pyc*: Running split function pyc... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_lm32/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_lm32/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_mor1kx/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_mor1kx/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_naxriscv/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_naxriscv/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_marocchino/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_marocchino/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/units/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/units/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/units/debug/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/units/debug/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/test/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/test/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/minerva/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/sources/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_minerva/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/test/asan/android_commands/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/test/asan/android_commands/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/lib/sanitizer_common/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/lib/dfsan/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/lib/dfsan/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/lib/asan/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/data/lib/asan/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_picolibc/data/newlib/doc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_software_picolibc/data/newlib/doc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_picolibc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_software_picolibc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv_smp/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv_smp/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/tb/core/firmware/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/debug_rom/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/rtl/riscv-dbg/ci/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/ci/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/system_verilog/ci/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/src/bootrom/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/fpga/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/tb/riscv-isa-sim/tests/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/bootrom/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/baremetal/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/corev_apu/openpiton/bootrom/linux/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/pd/synth/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/pd/synth/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/docs/design_spec/source/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/docs/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/docs/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/system_verilog/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva6/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/picosoc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/picosoc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/scripts/torture/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/scripts/torture/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/scripts/romload/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/scripts/romload/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/scripts/cxxdemo/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/firmware/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/verilog/firmware/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/usbcore/tx/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/usbcore/tx/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/usbcore/test/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/usbcore/test/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/usbcore/sm/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/usbcore/sm/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/usbcore/utils/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/usbcore/utils/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/usbcore/rx/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/usbcore/rx/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/usbcore/cpu/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/usbcore/cpu/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/usbcore/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/usbcore/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/valentyusb/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p/system_verilog/ci/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p/system_verilog/ci/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p/system_verilog/docs/source/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p/system_verilog/docs/source/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_misc_tapcfg/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_misc_tapcfg/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_serv/verilog/riscv-target/serv/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_serv/verilog/riscv-target/serv/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_serv/verilog/sw/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_serv/verilog/sw/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_serv/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_serv/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_rocket/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_rocket/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva5/system_verilog/tools/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva5/system_verilog/tools/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva5/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_cva5/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/liteeth/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/liteeth/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litedram/extras/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litedram/extras/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litedram/gen-src/sdram_init/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litedram/gen-src/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litedram/gen-src/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litesdcard/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/litesdcard/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/vhdl/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/test/tb/bp_cce/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_me/software/py/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_fe/test/tb/bp_fe_icache/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_common/software/py/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_top/software/py/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_noc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_tag/legacy/config_net/sim/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_mem/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_misc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_mem/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/gf_14/bsg_misc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_mem/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/bsg_mul/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_40/bsg_misc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_mem/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/bsg_mul/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_misc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/hard/tsmc_180_250/bsg_dataflow/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/bsg_dataflow/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_v2/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/axe_test/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/lock_test/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_non_blocking/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/regression_64/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/dmc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_cache/common/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_mem/bsg_nonsynth_mem_1rw_sync_mask_write_byte_dma/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_misc/bsg_idiv_iterative/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth2/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_dramsim3/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/bsg_nonsynth_ramulator_hbm/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/external/basejump_stl/testing/bsg_test/dramsim3_bandwidth/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/system_verilog/black-parrot/bp_be/test/tb/bp_be_dcache/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/syn/python/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/syn/python/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/util/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/util/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/doc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/doc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/ci/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/ci/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/test/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/isa/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/multi_harts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imcb/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32imafdc/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/pygen_src/target/rv32i/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/pygen/experimental/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/docs/source/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/deprecated/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/scripts/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/google_riscv-dv/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/ascentlint/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/veriblelint/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/lint/tools/verilator/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/uvmdvgen/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/util/dvsim/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/vendor/google_verible_verilog_syntax_py/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/ip/prim/util/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/vendor/lowrisc_ip/dv/tools/ralgen/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/riscv_dv_extension/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/system_verilog/dv/uvm/core_ibex/__pycache__' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/__pycache__' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules-pyc/usr/lib/python3.11/site-packages/pythondata_cpu_ibex/__pycache__' >>> py3-litex-hub-modules-pyc*: Preparing subpackage py3-litex-hub-modules-pyc... >>> py3-litex-hub-modules-pyc*: Running postcheck for py3-litex-hub-modules-pyc >>> py3-litex-hub-pythondata-cpu-blackparrot*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-blackparrot/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot-0.0.post1817.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-blackparrot/usr/lib/python3.11/site-packages/pythondata_cpu_blackparrot-0.0.post1817.dist-info' >>> py3-litex-hub-pythondata-cpu-blackparrot*: Preparing subpackage py3-litex-hub-pythondata-cpu-blackparrot... >>> py3-litex-hub-pythondata-cpu-blackparrot*: Running postcheck for py3-litex-hub-pythondata-cpu-blackparrot >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cv32e40p/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p-0.0.post152.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cv32e40p/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e40p-0.0.post152.dist-info' >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Preparing subpackage py3-litex-hub-pythondata-cpu-cv32e40p... >>> WARNING: py3-litex-hub-pythondata-cpu-cv32e40p*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Running postcheck for py3-litex-hub-pythondata-cpu-cv32e40p >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cv32e41p/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p-0.0.post1883.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cv32e41p/usr/lib/python3.11/site-packages/pythondata_cpu_cv32e41p-0.0.post1883.dist-info' >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Preparing subpackage py3-litex-hub-pythondata-cpu-cv32e41p... >>> WARNING: py3-litex-hub-pythondata-cpu-cv32e41p*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Running postcheck for py3-litex-hub-pythondata-cpu-cv32e41p >>> py3-litex-hub-pythondata-cpu-cva5*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva5' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cva5/usr/lib/python3.11/site-packages/pythondata_cpu_cva5' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva5-0.0.post649.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cva5/usr/lib/python3.11/site-packages/pythondata_cpu_cva5-0.0.post649.dist-info' >>> py3-litex-hub-pythondata-cpu-cva5*: Preparing subpackage py3-litex-hub-pythondata-cpu-cva5... >>> WARNING: py3-litex-hub-pythondata-cpu-cva5*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-cva5*: Running postcheck for py3-litex-hub-pythondata-cpu-cva5 >>> py3-litex-hub-pythondata-cpu-cva6*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cva6/usr/lib/python3.11/site-packages/pythondata_cpu_cva6' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_cva6-4.2.0.post435.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-cva6/usr/lib/python3.11/site-packages/pythondata_cpu_cva6-4.2.0.post435.dist-info' >>> py3-litex-hub-pythondata-cpu-cva6*: Preparing subpackage py3-litex-hub-pythondata-cpu-cva6... >>> py3-litex-hub-pythondata-cpu-cva6*: Running postcheck for py3-litex-hub-pythondata-cpu-cva6 >>> py3-litex-hub-pythondata-cpu-ibex*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-ibex/usr/lib/python3.11/site-packages/pythondata_cpu_ibex' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_ibex-0.0.post2214.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-ibex/usr/lib/python3.11/site-packages/pythondata_cpu_ibex-0.0.post2214.dist-info' >>> py3-litex-hub-pythondata-cpu-ibex*: Preparing subpackage py3-litex-hub-pythondata-cpu-ibex... >>> WARNING: py3-litex-hub-pythondata-cpu-ibex*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-ibex*: Running postcheck for py3-litex-hub-pythondata-cpu-ibex >>> py3-litex-hub-pythondata-cpu-lm32*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_lm32' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-lm32/usr/lib/python3.11/site-packages/pythondata_cpu_lm32' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_lm32-0.0.post106.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-lm32/usr/lib/python3.11/site-packages/pythondata_cpu_lm32-0.0.post106.dist-info' >>> py3-litex-hub-pythondata-cpu-lm32*: Preparing subpackage py3-litex-hub-pythondata-cpu-lm32... >>> WARNING: py3-litex-hub-pythondata-cpu-lm32*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-lm32*: Running postcheck for py3-litex-hub-pythondata-cpu-lm32 >>> py3-litex-hub-pythondata-cpu-marocchino*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_marocchino' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-marocchino/usr/lib/python3.11/site-packages/pythondata_cpu_marocchino' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_marocchino-0.0.post209.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-marocchino/usr/lib/python3.11/site-packages/pythondata_cpu_marocchino-0.0.post209.dist-info' >>> py3-litex-hub-pythondata-cpu-marocchino*: Preparing subpackage py3-litex-hub-pythondata-cpu-marocchino... >>> WARNING: py3-litex-hub-pythondata-cpu-marocchino*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-marocchino*: Running postcheck for py3-litex-hub-pythondata-cpu-marocchino >>> py3-litex-hub-pythondata-cpu-microwatt*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-microwatt/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt-0.0.post1409.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-microwatt/usr/lib/python3.11/site-packages/pythondata_cpu_microwatt-0.0.post1409.dist-info' >>> py3-litex-hub-pythondata-cpu-microwatt*: Preparing subpackage py3-litex-hub-pythondata-cpu-microwatt... >>> py3-litex-hub-pythondata-cpu-microwatt*: Running postcheck for py3-litex-hub-pythondata-cpu-microwatt >>> py3-litex-hub-pythondata-cpu-minerva*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-minerva/usr/lib/python3.11/site-packages/pythondata_cpu_minerva' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_minerva-0.0.post262.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-minerva/usr/lib/python3.11/site-packages/pythondata_cpu_minerva-0.0.post262.dist-info' >>> py3-litex-hub-pythondata-cpu-minerva*: Preparing subpackage py3-litex-hub-pythondata-cpu-minerva... >>> WARNING: py3-litex-hub-pythondata-cpu-minerva*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-minerva*: Running postcheck for py3-litex-hub-pythondata-cpu-minerva >>> py3-litex-hub-pythondata-cpu-mor1kx*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_mor1kx' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-mor1kx/usr/lib/python3.11/site-packages/pythondata_cpu_mor1kx' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_mor1kx-5.0.post125.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-mor1kx/usr/lib/python3.11/site-packages/pythondata_cpu_mor1kx-5.0.post125.dist-info' >>> py3-litex-hub-pythondata-cpu-mor1kx*: Preparing subpackage py3-litex-hub-pythondata-cpu-mor1kx... >>> WARNING: py3-litex-hub-pythondata-cpu-mor1kx*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-mor1kx*: Running postcheck for py3-litex-hub-pythondata-cpu-mor1kx >>> py3-litex-hub-pythondata-cpu-naxriscv*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_naxriscv' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-naxriscv/usr/lib/python3.11/site-packages/pythondata_cpu_naxriscv' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_naxriscv-1.0.1.post325.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-naxriscv/usr/lib/python3.11/site-packages/pythondata_cpu_naxriscv-1.0.1.post325.dist-info' >>> py3-litex-hub-pythondata-cpu-naxriscv*: Preparing subpackage py3-litex-hub-pythondata-cpu-naxriscv... >>> WARNING: py3-litex-hub-pythondata-cpu-naxriscv*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-naxriscv*: Running postcheck for py3-litex-hub-pythondata-cpu-naxriscv >>> py3-litex-hub-pythondata-cpu-picorv32*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-picorv32/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32-1.0.post88.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-picorv32/usr/lib/python3.11/site-packages/pythondata_cpu_picorv32-1.0.post88.dist-info' >>> py3-litex-hub-pythondata-cpu-picorv32*: Preparing subpackage py3-litex-hub-pythondata-cpu-picorv32... >>> WARNING: py3-litex-hub-pythondata-cpu-picorv32*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-picorv32*: Running postcheck for py3-litex-hub-pythondata-cpu-picorv32 >>> py3-litex-hub-pythondata-cpu-rocket*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_rocket' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-rocket/usr/lib/python3.11/site-packages/pythondata_cpu_rocket' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_rocket-0.0.post7053.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-rocket/usr/lib/python3.11/site-packages/pythondata_cpu_rocket-0.0.post7053.dist-info' >>> py3-litex-hub-pythondata-cpu-rocket*: Preparing subpackage py3-litex-hub-pythondata-cpu-rocket... >>> WARNING: py3-litex-hub-pythondata-cpu-rocket*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-rocket*: Running postcheck for py3-litex-hub-pythondata-cpu-rocket >>> py3-litex-hub-pythondata-cpu-serv*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_serv' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-serv/usr/lib/python3.11/site-packages/pythondata_cpu_serv' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_serv-1.0.post66.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-serv/usr/lib/python3.11/site-packages/pythondata_cpu_serv-1.0.post66.dist-info' >>> py3-litex-hub-pythondata-cpu-serv*: Preparing subpackage py3-litex-hub-pythondata-cpu-serv... >>> WARNING: py3-litex-hub-pythondata-cpu-serv*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-serv*: Running postcheck for py3-litex-hub-pythondata-cpu-serv >>> py3-litex-hub-pythondata-cpu-vexriscv*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-vexriscv/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv-1.0.1.post314.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-vexriscv/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv-1.0.1.post314.dist-info' >>> py3-litex-hub-pythondata-cpu-vexriscv*: Preparing subpackage py3-litex-hub-pythondata-cpu-vexriscv... >>> WARNING: py3-litex-hub-pythondata-cpu-vexriscv*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-vexriscv*: Running postcheck for py3-litex-hub-pythondata-cpu-vexriscv >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv_smp' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-vexriscv_smp/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv_smp' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv_smp-1.0.1.post325.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-cpu-vexriscv_smp/usr/lib/python3.11/site-packages/pythondata_cpu_vexriscv_smp-1.0.1.post325.dist-info' >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Preparing subpackage py3-litex-hub-pythondata-cpu-vexriscv_smp... >>> WARNING: py3-litex-hub-pythondata-cpu-vexriscv_smp*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Running postcheck for py3-litex-hub-pythondata-cpu-vexriscv_smp >>> py3-litex-hub-pythondata-misc-tapcfg*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_misc_tapcfg' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-misc-tapcfg/usr/lib/python3.11/site-packages/pythondata_misc_tapcfg' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_misc_tapcfg-0.0.post424.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-misc-tapcfg/usr/lib/python3.11/site-packages/pythondata_misc_tapcfg-0.0.post424.dist-info' >>> py3-litex-hub-pythondata-misc-tapcfg*: Preparing subpackage py3-litex-hub-pythondata-misc-tapcfg... >>> WARNING: py3-litex-hub-pythondata-misc-tapcfg*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-misc-tapcfg*: Running postcheck for py3-litex-hub-pythondata-misc-tapcfg >>> py3-litex-hub-pythondata-software-compiler_rt*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-software-compiler_rt/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt-0.0.post6189.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-software-compiler_rt/usr/lib/python3.11/site-packages/pythondata_software_compiler_rt-0.0.post6189.dist-info' >>> py3-litex-hub-pythondata-software-compiler_rt*: Preparing subpackage py3-litex-hub-pythondata-software-compiler_rt... >>> WARNING: py3-litex-hub-pythondata-software-compiler_rt*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-software-compiler_rt*: Running postcheck for py3-litex-hub-pythondata-software-compiler_rt >>> py3-litex-hub-pythondata-software-picolibc*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_picolibc' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-software-picolibc/usr/lib/python3.11/site-packages/pythondata_software_picolibc' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/pythondata_software_picolibc-1.7.9.post181.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-pythondata-software-picolibc/usr/lib/python3.11/site-packages/pythondata_software_picolibc-1.7.9.post181.dist-info' >>> py3-litex-hub-pythondata-software-picolibc*: Preparing subpackage py3-litex-hub-pythondata-software-picolibc... >>> WARNING: py3-litex-hub-pythondata-software-picolibc*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-pythondata-software-picolibc*: Running postcheck for py3-litex-hub-pythondata-software-picolibc >>> py3-litex-hub-valentyusb*: Running split function _subpkg... '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-valentyusb/usr/lib/python3.11/site-packages/valentyusb' '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-modules/usr/lib/python3.11/site-packages/valentyusb-0.0.0.dist-info' -> '/home/buildozer/aports/testing/py3-litex-hub-modules/pkg/py3-litex-hub-valentyusb/usr/lib/python3.11/site-packages/valentyusb-0.0.0.dist-info' >>> py3-litex-hub-valentyusb*: Preparing subpackage py3-litex-hub-valentyusb... >>> WARNING: py3-litex-hub-valentyusb*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-valentyusb*: Running postcheck for py3-litex-hub-valentyusb >>> py3-litex-hub-modules*: Running postcheck for py3-litex-hub-modules >>> py3-litex-hub-modules*: Preparing package py3-litex-hub-modules... >>> WARNING: py3-litex-hub-modules*: No arch specific binaries found so arch should probably be set to "noarch" >>> py3-litex-hub-modules-pyc*: Scanning shared objects >>> py3-litex-hub-modules*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-blackparrot*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-cva5*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-cva6*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-ibex*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-lm32*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-marocchino*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-microwatt*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-minerva*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-mor1kx*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-naxriscv*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-picorv32*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-rocket*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-serv*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-vexriscv*: Scanning shared objects >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Scanning shared objects >>> py3-litex-hub-pythondata-misc-tapcfg*: Scanning shared objects >>> py3-litex-hub-pythondata-software-compiler_rt*: Scanning shared objects >>> py3-litex-hub-pythondata-software-picolibc*: Scanning shared objects >>> py3-litex-hub-valentyusb*: Scanning shared objects >>> py3-litex-hub-modules-pyc*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-modules-pyc*: Package size: 5.9 MB >>> py3-litex-hub-modules-pyc*: Compressing data... >>> py3-litex-hub-modules-pyc*: Create checksum... >>> py3-litex-hub-modules-pyc*: Create py3-litex-hub-modules-pyc-2023.12-r1.apk >>> py3-litex-hub-modules*: Tracing dependencies... >>> py3-litex-hub-modules*: Package size: 4.0 KB >>> py3-litex-hub-modules*: Compressing data... >>> py3-litex-hub-modules*: Create checksum... >>> py3-litex-hub-modules*: Create py3-litex-hub-modules-2023.12-r1.apk >>> py3-litex-hub-pythondata-cpu-blackparrot*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-blackparrot*: Package size: 19.3 MB >>> py3-litex-hub-pythondata-cpu-blackparrot*: Compressing data... >>> py3-litex-hub-pythondata-cpu-blackparrot*: Create checksum... >>> py3-litex-hub-pythondata-cpu-blackparrot*: Create py3-litex-hub-pythondata-cpu-blackparrot-2023.12-r1.apk >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Package size: 7.9 MB >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Compressing data... >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Create checksum... >>> py3-litex-hub-pythondata-cpu-cv32e40p*: Create py3-litex-hub-pythondata-cpu-cv32e40p-2023.12-r1.apk >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Package size: 3.5 MB >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Compressing data... >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Create checksum... >>> py3-litex-hub-pythondata-cpu-cv32e41p*: Create py3-litex-hub-pythondata-cpu-cv32e41p-2023.12-r1.apk >>> py3-litex-hub-pythondata-cpu-cva5*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-cva5*: Package size: 2.4 MB >>> py3-litex-hub-pythondata-cpu-cva5*: Compressing data... >>> py3-litex-hub-pythondata-cpu-cva5*: Create checksum... >>> py3-litex-hub-pythondata-cpu-cva5*: Create py3-litex-hub-pythondata-cpu-cva5-2023.12-r1.apk >>> py3-litex-hub-pythondata-cpu-cva6*: Tracing dependencies... >>> ERROR: py3-litex-hub-pythondata-cpu-cva6*: libc.so.6: path not found python3 python3~3.11 so:libgcc_s.so.1 so:libstdc++.so.6 >>> py3-litex-hub-pythondata-cpu-cva6*: Package size: 19.1 MB >>> py3-litex-hub-pythondata-cpu-cva6*: Compressing data... >>> py3-litex-hub-pythondata-cpu-cva6*: Create checksum... >>> py3-litex-hub-pythondata-cpu-cva6*: Create py3-litex-hub-pythondata-cpu-cva6-2023.12-r1.apk >>> py3-litex-hub-pythondata-cpu-ibex*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-ibex*: Package size: 11.6 MB >>> py3-litex-hub-pythondata-cpu-ibex*: Compressing data... >>> py3-litex-hub-pythondata-cpu-ibex*: Create checksum... >>> py3-litex-hub-pythondata-cpu-ibex*: Create py3-litex-hub-pythondata-cpu-ibex-2023.12-r1.apk >>> py3-litex-hub-pythondata-cpu-lm32*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-lm32*: Package size: 868.0 KB >>> py3-litex-hub-pythondata-cpu-lm32*: Compressing data... >>> py3-litex-hub-pythondata-cpu-lm32*: Create checksum... >>> py3-litex-hub-pythondata-cpu-lm32*: Create py3-litex-hub-pythondata-cpu-lm32-2020.04-r1.apk >>> py3-litex-hub-pythondata-cpu-marocchino*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-marocchino*: Package size: 1.2 MB >>> py3-litex-hub-pythondata-cpu-marocchino*: Compressing data... >>> py3-litex-hub-pythondata-cpu-marocchino*: Create checksum... >>> py3-litex-hub-pythondata-cpu-marocchino*: Create py3-litex-hub-pythondata-cpu-marocchino-2023.12-r1.apk >>> py3-litex-hub-pythondata-cpu-microwatt*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-microwatt*: Package size: 145.6 MB >>> py3-litex-hub-pythondata-cpu-microwatt*: Compressing data... >>> py3-litex-hub-pythondata-cpu-microwatt*: Create checksum... >>> py3-litex-hub-pythondata-cpu-microwatt*: Create py3-litex-hub-pythondata-cpu-microwatt-2023.12-r1.apk >>> py3-litex-hub-pythondata-cpu-minerva*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-minerva*: Package size: 348.0 KB >>> py3-litex-hub-pythondata-cpu-minerva*: Compressing data... >>> py3-litex-hub-pythondata-cpu-minerva*: Create checksum... >>> py3-litex-hub-pythondata-cpu-minerva*: Create py3-litex-hub-pythondata-cpu-minerva-2023.12-r1.apk >>> py3-litex-hub-pythondata-cpu-mor1kx*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-mor1kx*: Package size: 1.1 MB >>> py3-litex-hub-pythondata-cpu-mor1kx*: Compressing data... >>> py3-litex-hub-pythondata-cpu-mor1kx*: Create checksum... >>> py3-litex-hub-pythondata-cpu-mor1kx*: Create py3-litex-hub-pythondata-cpu-mor1kx-2020.04-r1.apk >>> py3-litex-hub-pythondata-cpu-naxriscv*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-naxriscv*: Package size: 104.0 KB >>> py3-litex-hub-pythondata-cpu-naxriscv*: Compressing data... >>> py3-litex-hub-pythondata-cpu-naxriscv*: Create checksum... >>> py3-litex-hub-pythondata-cpu-naxriscv*: Create py3-litex-hub-pythondata-cpu-naxriscv-2023.12-r1.apk >>> py3-litex-hub-pythondata-cpu-picorv32*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-picorv32*: Package size: 1.5 MB >>> py3-litex-hub-pythondata-cpu-picorv32*: Compressing data... >>> py3-litex-hub-pythondata-cpu-picorv32*: Create checksum... >>> py3-litex-hub-pythondata-cpu-picorv32*: Create py3-litex-hub-pythondata-cpu-picorv32-2020.04-r1.apk >>> py3-litex-hub-pythondata-cpu-rocket*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-rocket*: Package size: 126.3 MB >>> py3-litex-hub-pythondata-cpu-rocket*: Compressing data... >>> py3-litex-hub-pythondata-cpu-rocket*: Create checksum... >>> py3-litex-hub-pythondata-cpu-rocket*: Create py3-litex-hub-pythondata-cpu-rocket-2020.04-r1.apk >>> py3-litex-hub-pythondata-cpu-serv*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-serv*: Package size: 528.0 KB >>> py3-litex-hub-pythondata-cpu-serv*: Compressing data... >>> py3-litex-hub-pythondata-cpu-serv*: Create checksum... >>> py3-litex-hub-pythondata-cpu-serv*: Create py3-litex-hub-pythondata-cpu-serv-2020.04-r1.apk >>> py3-litex-hub-pythondata-cpu-vexriscv*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-vexriscv*: Package size: 2.7 MB >>> py3-litex-hub-pythondata-cpu-vexriscv*: Compressing data... >>> py3-litex-hub-pythondata-cpu-vexriscv*: Create checksum... >>> py3-litex-hub-pythondata-cpu-vexriscv*: Create py3-litex-hub-pythondata-cpu-vexriscv-2020.04-r1.apk >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Package size: 28.0 MB >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Compressing data... >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Create checksum... >>> py3-litex-hub-pythondata-cpu-vexriscv_smp*: Create py3-litex-hub-pythondata-cpu-vexriscv_smp-2023.12-r1.apk >>> py3-litex-hub-pythondata-misc-tapcfg*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-misc-tapcfg*: Package size: 340.0 KB >>> py3-litex-hub-pythondata-misc-tapcfg*: Compressing data... >>> py3-litex-hub-pythondata-misc-tapcfg*: Create checksum... >>> py3-litex-hub-pythondata-misc-tapcfg*: Create py3-litex-hub-pythondata-misc-tapcfg-2020.04-r1.apk >>> py3-litex-hub-pythondata-software-compiler_rt*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-software-compiler_rt*: Package size: 32.6 MB >>> py3-litex-hub-pythondata-software-compiler_rt*: Compressing data... >>> py3-litex-hub-pythondata-software-compiler_rt*: Create checksum... >>> py3-litex-hub-pythondata-software-compiler_rt*: Create py3-litex-hub-pythondata-software-compiler_rt-2020.04-r1.apk >>> py3-litex-hub-pythondata-software-picolibc*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-pythondata-software-picolibc*: Package size: 28.7 MB >>> py3-litex-hub-pythondata-software-picolibc*: Compressing data... >>> py3-litex-hub-pythondata-software-picolibc*: Create checksum... >>> py3-litex-hub-pythondata-software-picolibc*: Create py3-litex-hub-pythondata-software-picolibc-2023.12-r1.apk >>> py3-litex-hub-valentyusb*: Tracing dependencies... python3 python3~3.11 >>> py3-litex-hub-valentyusb*: Package size: 688.0 KB >>> py3-litex-hub-valentyusb*: Compressing data... >>> py3-litex-hub-valentyusb*: Create checksum... >>> py3-litex-hub-valentyusb*: Create py3-litex-hub-valentyusb-2023.12-r1.apk >>> py3-litex-hub-modules: Build complete at Fri, 19 Jan 2024 02:42:07 +0000 elapsed time 0h 1m 11s >>> py3-litex-hub-modules: Cleaning up srcdir >>> py3-litex-hub-modules: Cleaning up pkgdir >>> py3-litex-hub-modules: Uninstalling dependencies... (1/25) Purging .makedepends-py3-litex-hub-modules (20240119.024057) (2/25) Purging py3-setuptools-pyc (69.0.3-r0) (3/25) Purging py3-setuptools (69.0.3-r0) (4/25) Purging py3-gpep517-pyc (15-r1) (5/25) Purging py3-gpep517 (15-r1) (6/25) Purging py3-wheel-pyc (0.42.0-r0) (7/25) Purging py3-wheel (0.42.0-r0) (8/25) Purging py3-packaging-pyc (23.2-r0) (9/25) Purging py3-packaging (23.2-r0) (10/25) Purging py3-parsing-pyc (3.1.1-r0) (11/25) Purging py3-parsing (3.1.1-r0) (12/25) Purging py3-installer-pyc (0.7.0-r1) (13/25) Purging py3-installer (0.7.0-r1) (14/25) Purging python3-pyc (3.11.6-r1) (15/25) Purging python3-pycache-pyc0 (3.11.6-r1) (16/25) Purging pyc (3.11.6-r1) (17/25) Purging python3 (3.11.6-r1) (18/25) Purging gdbm (1.23-r1) (19/25) Purging libbz2 (1.0.8-r6) (20/25) Purging libffi (3.4.4-r3) (21/25) Purging libpanelw (6.4_p20231125-r0) (22/25) Purging mpdecimal (2.5.1-r2) (23/25) Purging readline (8.2.10-r0) (24/25) Purging sqlite-libs (3.45.0-r0) (25/25) Purging xz-libs (5.4.5-r0) Executing busybox-1.36.1-r19.trigger OK: 378 MiB in 107 packages >>> py3-litex-hub-modules: Updating the testing/x86_64 repository index... >>> py3-litex-hub-modules: Signing the index...